Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών"

Transcript

1 ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ: ΗΛΕΚΤΡΟΝΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΣΥΣΤΗΜΑΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών Ζουμπούλογλου Παρασκευάς-Πάρις Αριθμός Μητρώου: 6510 Θέμα «Αποτίμηση αρχιτεκτονικών ιεαραρχίας μνήμης επεξεργαστή για κατανάλωση ισχύος» Επιβλέπων Δ. Σερπάνος Αριθμός Διπλωματικής Εργασίας: Πάτρα, Φεβρουάριος 2013

2

3 ΠΙΣΤΟΠΟΙΗΣΗ Πιστοποιείται ότι η Διπλωματική Εργασία με θέμα «Αποτίμηση αρχιτεκτονικών ιεαραρχίας μνήμης επεξεργαστή για κατανάλωση ισχύος» Του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Ζουμπούλογλου Παρασκευάς-Πάρις Αριθμός Μητρώου: 6510 Παρουσιάστηκε δημόσια και εξετάστηκε στο Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών στις.../../ Ο Επιβλέπων Ο Διευθυντής του Τομέα Δ.Σερπάνος Καθηγητής Ε.Χούσος Καθηγητής

4

5 Αριθμός Διπλωματικής Εργασίας: Θέμα: «Αποτίμηση αρχιτεκτονικών ιεαραρχίας μνήμης επεξεργαστή για κατανάλωση ισχύος» Φοιτητής: Ζουμπούλογλου Παρασκευάς-Πάρις Επιβλέπων: Δ. Σερπάνος Περίληψη Η κρυφή μνήμη αποτελεί έναν σημαντικό παράγοντα για την απόδοση του επεξεργαστή. Ταυτόχρονα όμως αποτελεί και ένα από τα δομικά μέρη πάνω στο chip στο οποίο καταναλώνεται σημαντικό κομμάτι της ισχύος. Στην παρούσα εργασία γίνεται μία ανάλυση πάνω στην κατανάλωση των διαφόρων επιπέδων της ιεαραρχίας της κρυφής μνήμη του επεξεργαστή και παρουσιάζονται ορισμένες τεχνικές που οδηγούν στην μείωση της ενώ παράλληλα διατηρείται η απόδοση του υπολογιστικού συστήματος όσο το δυνατόν πιο σταθερή. Η αποτίμηση των τεχνικών αυτών έγινε με την βοήθεια του SimpleScalar, εξομοιωτή υπερβαθμωτών αρχιτεκτονικών επεξεργαστή, και του εργαλείου CACTI της HP, το οποίο μοντελοποιεί διάφορα χαρακτηριστικά (χρόνο προσπέλασης, δυναμική κατανάλωση ισχύος κτλ.) της κρυφής και κύριας μνήμης του επεξεργαστή. Summary Cache memory plays an important role in the performance of the processor. Simultaneously, however, it is one of the core components of the chip which consume a significant percentage of the total power. In this thesis we present an analysis of the power dissipation of the different levels in cache memory hierarchy and we propose techniques that lead to a reduction of power consumption while maintaning the system performance. For the efficiency study of these techniques we use SimpleScalar, a superscalar architecture simulator, and CACTI, an enhanced cache access and cycle time model.

6

7 Ευχαριστίες Θέλω να ευχαριστήσω τον Βαλάντη Δάτσιο, ερευνητή του Ινστιτούτου Βιομηχανικών Συστημάτων (ΙΝ.ΒΙ.Σ), για την πολύτιμη βοήθεια του σε όλα τα στάδια της εκπόνησης της διπλωματικής εργασίας. Επίσης όσους/ες με στήριξαν με οποιοδήποτε τρόπο καθ όλη την διάρκεια των σπουδών μου και ειδικά το τελευταίο αυτό διάστημα.

8

9 Περιεχόμενα 1 Εισαγωγή O ρόλος της μνήμης cache Λειτουργία της μνήμης cache σε υψηλό επίπεδο Υλοποιήσεις κρυφής μνήμης σε επίπεδο υλικού Αρχιτεκτονική Superscalar Κατανάλωση ισχύος Κατανάλωση ισχύος στα CMOS κυκλώματα Η συμβολή των κρυφών μνημών στην κατανάλωση ισχύος Τεχνικές μείωσης ισχύος στην κρυφή μνήμη Phased Cache Way-Predicting Cache Μεθοδολογία Εξομοίωση συστήματος σε επίπεδο αρχιτεκτονικής Μοντέλο του υπερβαθμωτού επεξεργαστή Το μοντέλο CACTI Μοντελοποίηση των αρχιτεκτονικών Μοντελοποίηση της Phased Cache Μοντελοποίηση της Way-Predicting Cache Μετρήσεις Επιλογή χαρακτηριστικών κρυφών μνημών Επιλογή λοιπών χαρακτηριστικών επεξεργαστή Επιλογή μετροπρογραμμάτων Μετρήσεις για την Data Cache L Phased Cache Way-Predicting Cache Μετρήσεις για την Data Cache Level Phased Cache Way-predicting cache Μετρήσεις για την Instruction Cache Level Phased Cache Way-predicting cache Σύγκριση των δύο τεχνικών Συμπεράσματα...57 Παράρτημα Α : Παραμετροποίηση του SimpleScalar Απενεργοποίηση του fast hit Απενεργοποίηση των highly assosiative caches Πολιτική αντικατάστασης αστοχιών...59 Παράρτημα Β: Κώδικας phased και way-predicting cache...61 Phased Cache...61 Way-predicting Cache...61 Bιβλιογραφία...64

10 Illustration Index Γράφημα 1: Χρόνος προσπέλασης DL Γράφημα 2: Κατανάλωση ισχύος DL Γράφημα 3: Phased Cache - Κατανάλωση σε ευστοχία...35 Γράφημα 4: Phased Cache - Κατανάλωση σε αστοχία...35 Γράφημα 5: Ρυθμός αστοχίας για 8-way assoc...36 Γράφημα 6: Κατανάλωση phased DL1 για 8-way assoc...37 Γράφημα 7: Χρόνος προσπέλασης phased DL1, 8-way assoc...37 Γράφημα 8: Συνολικός χρόνος εκτέλεσης για phased DL1, 8-way assoc...38 Γράφημα 9: Κατανάλωση way-predicting DL1 σε επιτυχημένη πρόβλεψη...39 Γράφημα 10: Ποσοστό επιτυχημένων προβλέψεων way-predicting DL1, 32KB...39 Γράφημα 11: Κατανάλωση ισχύος way-predicting DL1, 32KB...40 Γράφημα 12: Χρόνος προσπέλασης way-predicting DL1, 32KB...41 Γράφημα 13: Συνολικός χρόνος εκτέλεσης way-predicting DL1, 32KB...41 Γράφημα 14: Κατανάλωση ισχύος DL Γράφημα 15: Κατανάλωση ισχύος phased DL2, 16-way assoc...43 Γράφημα 16: Ποσοστό αστοχιών DL2, 16-way assoc...43 Γράφημα 17: Χρόνος προσπέλασης phased DL2, 16-way assoc...44 Γράφημα 18: Συνολικός χρόνος εκτέλεσης με phased cache, 16-way assoc...44 Γράφημα 19: Ποσοστό επιτυχημένων προβλέψεων way-predicting DL2, 128KB...45 Γράφημα 20: Κατανάλωση ισχύος way-predicting DL2, 128KB...46 Γράφημα 21: Χρόνος προσπέλασης way-predicting DL2, 128KB...46 Γράφημα 22: Συνολικός χρόνος εκτέλεσης way-predicting DL2, 128KB...47 Γράφημα 23: Ποσοστό επιτυχημένων προβλέψεων για DL2, 4-way assoc...47 Γράφημα 24: Χρόνος προσπέλασης IL1 συναρτήσει μεγέθους και associativity...48 Γράφημα 25: Κατανάλωση ισχύος phased ΙL1, 8-way assoc...49 Γράφημα 26: Χρόνος προσπέλασης phased ΙL1, 8-way assoc...49 Γράφημα 27: Συνολικός χρόνος εκτέλεσης phased ΙL1, 8-way...50 Γράφημα 28: Κατανάλωση ισχύος way-predicting IL1, 8-way assoc...51 Γράφημα 29: Χρόνος προσπέλασης way-predicting IL1, 8-way assoc...51 Γράφημα 30: Συνολικός χρόνος εκτέλεσης way-predicting ΙL1, 8-way assoc...52 Γράφημα 31: EDP για Phased D-Cache L1 μεγέθους 32ΚΒ...53 Γράφημα 32: EDP για Way-Predicting D-Cache L1 μεγέθους 32ΚΒ...54 Γράφημα 33: EDP για την Phased D-Cache L2, μεγέθους 256ΚΒ...54 Γράφημα 34: EDP για την Way-Predicting D-Cache L2, μεγέθους 256ΚΒ...55 Γράφημα 35: EDP για την phased I-Cache L1, μεγέθους 64ΚΒ...56 Γράφημα 36: EDP για την Way-Predicting I-Cache L1, μεγέθους 64ΚΒ...56

11 1 Εισαγωγή Η ραγδαία αύξηση χρήσης των μικροεπεξεργαστών σε όλες τις πτυχές της καθημερινότητας από τις αρχές της δεκαετίας του '90 μέχρι σήμερα, έχει καταστήσει τους μικροεπεξεργαστές, στο σύνολο τους, έναν σημαντικό ενεργειακό καταναλωτή. Ταυτόχρονα, οι σύγχρονες τεχνολογικές απαιτήσεις για φορητότητα, ελάττωση μεγέθους των συσκευών (ή σύμπτυξη περισσότερων λειτουργιών στην ίδια συσκευή) και χαμηλότερη κατανάλωση, έχει στρέψει την έρευνα στην αρχιτεκτονική υπολογιστών την τελευταία δεκαετία από την επεξεργαστική ισχύ στην ενεργειακή απόδοση. Η παρούσα διπλωματική εργασία εξετάζει την κατανάλωση ισχύος στην κρυφή μνήμη του επεξεργαστή, την εξάρτηση της από την αρχιτεκτονική της ιεραρχίας μνήμης και την εφαρμογή ορισμένων τεχνικών για μείωση της κατανάλωσης O ρόλος της μνήμης cache Οι σημερινοί επεξεργαστές είναι πολύ πιο πολύπλοκοι σε σύγκριση με 30 χρόνια πριν. Τότε, η συχνότητα λειτουργίας του πυρήνα του επεξεργαστή ήταν στο ίδιο επίπεδο με την συχνότητα λειτουργίας του memory bus και η προσπέλαση στην μνήμη ήταν ελάχιστα πιο αργή από την προσπέλαση καταχωρητών. Στις αρχές της δεκαετίας του '90, οι σχεδιαστές εκμεταλλευόμενοι την εξέλιξη της τεχνολογίας στον τομέα αυτό, άρχισαν να αυξάνουν σημαντικά την ταχύτητα των επεξεργαστών, ενώ η ταχύτητα του memory bus και των μνημών RAM δεν αυξήθηκε ανάλογα. Αυτό συνέβη διότι ενώ είναι εφικτό τεχνολογικά, είναι οικονομικά ασύμφορο, δεδομένο που ισχύει μέχρι σήμερα, αφού όσο ταχύτερη είναι μια μνήμη τόσο περισσότερο ανεβαίνει το κόστος της ανά byte. Η λύση που υιοθετήθηκε για να ξεπεραστεί το εμπόδιο αυτό ήταν η χρήση της κρυφής μνήμης. Κρυφή μνήμη είναι το όνομα που δίνεται στο πρώτο επίπεδο της ιεραρχίας μνήμης που συναντάται από την στιγμή που η διεύθυνση αφήνει την CPU. Πρόκειται για μία μνήμη υλοποιημένη μέσα στο chip του επεξεργαστή, την οποία διαχειρίζεται εξ' ολοκλήρου ο επεξεργαστής. O βασικός ρόλος της κρυφής μνήμης είναι να κρατάει αντίγραφα δεδομένων από την κύρια μνήμη έτσι ώστε να επιταχύνεται σημαντικά η προσπέλαση του επεξεργαστή σε αυτά. Η τεχνική αυτή εκμεταλλεύεται ότι μέσα σε ένα μικρό χρονικό πλαίσιο υπάρχει αρκετά αυξημένη πιθανότητα να επαναχρησιμοποιηθεί το ίδιο κομμάτι κώδικα ή δεδομένων. Για τον κώδικα αυτό συμβαίνει π.χ σε δομές επανάληψης όπου ο ίδιος κώδικας εκτελείται πολλές φορές. 11

12 Σχήμα 1.1 Διάγραμμα κρυφής μνήμης H εικόνα 1.1 είναι ένα απλοποιημένο σχηματικό διάγραμμα της ιεραρχίας μνήμης ενός επεξεργαστή. Ωστόσο, ήδη από το 1993 η Intel εισήγαγε ξεχωριστές κρυφές μνήμες για τα δεδομένα και τις εντολές. Η αρχιτεκτονική αυτή παρείχε σημαντική βελτίωση στην απόδοση, αφού οι περιοχές μνήμης των δεδομένων και των εντολών ενός προγράμματος είναι ανεξάρτητες μεταξύ τους. Με αυτό τον τρόπο διπλασιάζεται το εύρος ζώνης ανάμεσα στην ιεραρχία της μνήμης και την CPU και αποφεύγεται η δημιουργία συμφόρησης Επίσης η ξεχωριστή κρυφή μνήμη εντολών (instruction cache) λύνει ένα σημαντικό πρόβλημα που αντιμετωπίζουν οι περισσότεροι επεξεργαστές, αυτό της αργής αποκωδικοποίησης εντολών, αφού οι αποκωδικοποιημένες εντολές αποθηκεύονται στην κρυφή μνήμη. Σχήμα 1.2 Διάγραμμα πολυεπίπεδης κρυφής μνήμης Με την αύξηση του χάσματος μεταξύ της ταχύτητας του επεξεργαστή και της κύριας μνήμης πολύ σύντομα χρειάστηκε να εισαχθεί και δεύτερο επίπεδο κρυφής μνήμης, πιο αργό αλλά πιο 12

13 μεγάλο από το πρώτο, αφού η αύξηση του μεγέθους του πρώτου επιπέδου ήταν οικονομικά ασύμφορη. Έτσι όταν ο επεξεργαστής αναζητά κάποιο δεδομένο στο πρώτο επίπεδο κρυφής μνήμης που δεν είναι διαθέσιμο, ελέγχει το δεύτερο επίπεδο κ.ο.κ. Οι περισσότεροι μοντέρνοι επεξεργαστές διαθέτουν πλέον τρία επίπεδα κρυφής μνήμης και είναι πολύ πιθανό στο μέλλον, όσο οι μικροεπεξεργαστές γίνονται όλο και πιο περίπλοκοι, να εισαχθούν και άλλα επίπεδα. Η αρχιτεκτονική αυτή απεικονίζεται σχηματικά στο διάγραμμα Λειτουργία της μνήμης cache σε υψηλό επίπεδο Για να γίνει αντιληπτή η σημασία της κρυφής μνήμης στην λειτουργία ενός μικροεπεξεργαστή πρέπει πρώτα να γίνει μια επεξήγηση της λειτουργίας της. Οποιοδήποτε δεδομένο διαβάζεται ή γράφεται από την CPU αποθηκεύεται στην κρυφή μνήμη. Συνεπώς όταν η CPU χρειάζεται κάποιο δεδομένο, ελέγχει πρώτα τις κρυφές μνήμες. Λόγω του πολύ μικρού μεγέθους της συγκριτικά με την κύρια μνήμη ( η αναλογία είναι περίπου 1:1000 ) είναι προφανές ότι δεν μπορεί να περιλαμβάνει όλα τα δεδομένα της κύριας μνήμης. Όταν η CPU βρίσκει στην κρυφή μνήμη ένα αιτούμενο στοιχείο δεδομένων τότε υπάρχει ευστοχία κρυφής μνήμης ( cache hit ). Όταν η CPU δεν βρίσκει το αιτούμενο στοιχείο στην κρυφή μνήμη, συμβαίνει αστοχία κρυφής μνήμης ( cache miss ) τότε ανασύρεται από την κύρια μνήμη ένα σύνολο δεδομένων συγκεκριμένου μεγέθους ( μπλοκ ) και τοποθετείται στην κρυφή μνήμη. Με αυτή την λειτουργία ο επεξεργαστής εκμεταλλεύεται πρώτον την χρονική τοπικότητα δεδομένων, ότι δηλαδή το δεδομένο αυτό ενδέχεται να χρησιμοποιηθεί ξανά στο άμεσο μέλλον καθώς και την χωρική τοπικότητα, αφού είναι πολύ πιθανό να χρησιμοποιηθούν άμεσα και τα γειτονικά δεδομένα από μπλοκ. Οι αστοχίες στην κρυφή μνήμη μπορούν να χωριστούν σε τρεις διαφορετικές κατηγορίες : υποχρεωτικές (compulsory) : Κατά την εκκίνηση ενός προγράμματος η πρώτη προσπέλαση σε ένα μπλοκ δεν μπορεί να είναι στην κρυφή μνήμη, οπότε το μπλοκ πρέπει να προσκομισθεί από την κύρια μνήμη. χωρητικότητας (capacity) : Οι αστοχίες αυτές συμβαίνουν όταν η κρυφή μνήμη δεν μπορεί να χωρέσει όλα τα μπλοκ που χρειάζονται κατά την εκτέλεση ενός προγράμματος, οπότε αναγκαστικά κάποια μπλοκ απορρίπτονται και επανακτώνται αργότερα. σύγκρουσης (conflict) : Αν υπερβολλικά πολλά μπλοκ απεικονίζονται στο ίδιο σύνολο τότε κάποια μπλοκ θα απορριφθούν και αργότερα θα επανακτηθούν. Οι αστοχίες αυτές εμφανίζονται σε συνολοσυσχετιστικές ή άμεσης αντιστοίχησης μνήμες. 13

14 Σε περίπτωση αστοχίας, ο χρόνος που δαπανάται για την ανάκληση των δεδομένων από την κύρια μνήμη (ποινή αστοχίας) εξαρτάται από τον χρόνο προσπέλασης και το εύρος ζώνης της μνήμης. Σε επεξεργαστές εντός σειράς η λειτουργία του επεξεργαστή αναστέλλεται μέχρι τα δεδομένα να γίνουν διαθέσιμα, συνεπώς οι αστοχίες κρυφής μνήμης οφείλουν να είναι όσο το δυνατόν λιγότερες, αφού επηρεάζουν σημαντικά την απόδοση σύμφωνα με την σχέση : Χρόνος εκτέλεσης CPU =(Kύκλοι ρολογιούcpu + Kύκλοι ανάσχεσης μνήμης) Χρόνος κύκλου ρολογιού όπου Κύκλοι ανάσχεσης μνήμης= Αριθμός αστοχιών Ποινή αστοχίας Στον πίνακα 1.3 δίνονται μερικές ενδεικτικές τιμές χρόνων προσπελάσης στα διάφορα επίπεδα μνήμης για τον επεξεργαστή Pentium M της Intel : Επίπεδο μνήμης Κύκλοι ρολογιού Καταχωρητές <=1 L1 cache ~3 L2 cache ~14 Κύρια μνήμη ~240 Πίνακας 1.3 Τυπικοί χρόνοι προσπέλασης ιεαραρχίας μνήμης Υλοποιήσεις κρυφής μνήμης σε επίπεδο υλικού Όπως αναφέρθηκε στην προηγούμενη παράγραφο, τα δεδομένα στην κρυφή μνήμη δεν αποθηκεύονται σαν ψηφιακές λέξεις αλλά σαν μπλοκ δεδομένων που αποτελούνται από αρκετές συνεχόμενες λέξεις μέσα στην μνήμη. Τα μπλοκ αυτά αποτελούνταν από 32 bytes αρχικά αλλά πλέον το σύνηθες είναι 64 bytes. Σε κάθε πλαίσιο μπλοκ υπάρχει μία ετικέτα ( tag ) διεύθυνσης η οποία προσδιορίζει την διεύθυνση του μπλοκ. Αυτή η ετικέτα ελέγχεται από τον επεξεργαστή ώστε να διαπιστωθεί αν υπάρχει ταύτιση με την διεύθυνση του ζητούμενου μπλοκ δεδομένων. Επίσης σε κάθε ετικέτα προστίθεται ένα bit εγκυρότητας ( valid bit ) το οποίο προσδιορίζει αν η ετικέτα περιλαμβάνει μία έγκυρη διεύθυνση ή όχι. Αναλόγως με το που μπορεί να τοποθετηθεί ένα μπλοκ στην κρυφή μνήμη, δημιουργούνται τρεις διαφορετικές κατηγορίες οργάνωσης : Αν κάθε μπλοκ έχει μόνο μια θέση όπου μπορεί να τοποθετηθεί στην κρυφή μνήμη, τότε 14

15 αυτή ονομάζεται άμεσης αντιστοίχισης (direct-mapped). Στο σχήμα 1.4 παρουσιάζεται η δομή της μνήμης άμεσης αντιστοίχισης. Εδώ υπάρχει μόνο ένας συγκριτής (comparator) αλλά η πολυπλοκότητα της δομής αυτής έγκειται στους απαιτούμενους πολυπλέκτες ώστε να βρεθεί η σωστή θέση μέσα στην κρυφή μνήμη. Ο αριθμός των απαιτούμενων τρανσιστορ αυξάνεται με ρυθμό Ο (logn ) οπου Ν ο αριθμός των γραμμών της cache. Σχήμα 1.4 Κρυφή μνήμη άμεσης αντιστοίχισης To μεγάλο πλεονέκτημα αυτών των κρυφών μνημών είναι ότι χρειάζεται μόλις μία σύγκριση ετικέτας για να διαπιστωθεί αν το επιθυμητό δεδομένο βρίσκεται στην κρυφή μνήμη. Αν και η υλοποίηση τέτοιων κρυφών μνημών είναι εφικτή, το μεγάλο μειονέκτημα τους είναι ότι λόγω της φύσης των δεδομένων, οι διευθύνσεις στην κύρια μνήμη δεν κατανέμονται ομοιόμορφα στις γραμμές της κρυφής μνήμης, με αποτέλεσμα να υπάρχουν γραμμές όπου χρησιμοποιούνται πολύ συχνά ( άρα τα δεδομένα αντικαθίστανται τακτικά, διαδικασία που έχει χρονικό κόστος ) και γραμμές που χρησιμοποιούνται ελάχιστα ως καθόλου. Αν ένα μπλοκ μπορεί να τοποθετηθεί οπουδήποτε στην κρυφή μνήμη, η κρυφή μνήμη ονομάζεται πλήρως συσχετιστική (fully assosiative). Όπως φαίνεται και στο σχήμα 1.5, αν και είναι εφικτό να υλοποιηθούν πλήρως συσχετιστικές μνήμες, κάτι τέτοιο θα απαιτούσε την σύγκριση όλων των ετικετών των καταχωρήσεων της κρυφής μνήμης με την ετικέτα της ζητούμενης γραμμής. Λαμβάνοντας υπόψιν ότι η διαδικασία αυτή πρέπει να γίνει μέσα σε ελάχιστους κύκλους ρολογιού, η υλοποίηση μιας τέτοιας μνήμης καθίσταται πολύ δύσκολη. 15

16 Σχήμα 1.5 Πλήρως συσχετιστική κρυφή μνήμη Αν ένα μπλοκ μπορεί να τοποθετηθεί σε περιορισμένο σύνολο θέσεων στη κρυφή μνήμη, η κρυφή μνήμη είναι συνολοσυσχετιστική (set associative). Κάθε μπλοκ αντιστοιχείται σε ένα σύνολο, όπου σύνολο είναι μια ομάδα από μπλοκ, και μπορεί να τοποθετηθεί οπουδήποτε μέσα σ' αυτό το σύνολο. Αν υπάρχουν n μπλοκ σε ένα σύνολο, η τοποθέτηση στη κρυφή μνήμη ονομάζεται συνολοσχετιστική n δρόμων (n way associative). Στο σχήμα 1.6 παρουσιάζει την δομή της συνολοσυσχετιστικής κρυφής μνήμης η οποία συνδυάζει τις ιδιότητες των δύο παραπάνω προσπαθώντας να αποφύγει τα μειονεκτήματα τους. Οι ετικέτες και τα δεδομένα χωρίζονται σε σύνολα τα οποία επιλέγονται από την διεύθυνση. Η διαφορά της οργάνωσης αυτής από την άμεσης αντιστοίχισης είναι ότι σε κάθε σύνολο μπορούν να υπάρχουν περισσότερα του ενός στοιχεία, τα οποία μπορούν να αποθηκευτούν στο ίδιο σύνολο. Σχήμα 1.6 Συνολοσυσχετιστική κρυφή μνήμη Έτσι οι ετικέτες ενός συνόλου ελέγχονται παράλληλα, όπως στην πλήρως συσχετιστική κρυφή μνήμη. Αν υπάρχει ταύτιση τότε γίνεται επιλογή των δεδομένων από το way. Αναλυτικότερα, η διεύθυνση σε μία τέτοια μνήμη έχει την μορφή του σχήματος 1.7 χωρίζεται δηλαδή σε δύο κύρια μέρη, την διεύθυνση μπλοκ και την μετατόπιση. 16

17 Σχήμα 1.7 Δομή διεύθυνσης Το πεδίο ετικέτας συγκρίνεται με την ζητούμενη ετικέτα για ευστοχία, το πεδίο δείκτη επιλέγει το σύνολο σε μια συνολοσυσχετιστική μνήμη και το πεδίο μετατόπισης επιλέγει το επιθυμητό δεδομένο μέσα στο μπλοκ. Η μετατόπιση δεν αποτελεί αντικείμενο του ελέγχου αφού το ζητούμενο μπλοκ ή θα είναι διαθέσιμο ή όχι και συνεπώς οποιαδήποτε μετατόπιση μέσα σε αυτό θα οδηγήσει σε ευστοχία. Αντίστοιχα το πεδίο δείκτη χρησιμοποιείται για να προσδιοριστεί ποιο σύνολο σε μια συνολοσυσχετιστική μνήμη n δρόμων, πρόκειται να ελεγχθεί. Επομένως ούτε αυτό το πεδίο συμμετέχει στην σύγκριση αφού είναι αναμενόμενο ότι ένα μπλοκ που είναι αποθηκευμένο π.χ στο σύνολο 0, θα έχει 0 στο πεδίο του δείκτη. Επιπλέον η δομή αυτή επιτρέπει εξοικονόμηση στην κατανάλωση ισχύος καθώς μειώνεται το εύρος του μεγέθους μνήμης για την ετικέτα. H μεγάλη πλειοψηφία των κρυφών μνημών επεξεργαστή σήμερα είναι άμεσης αντιστοίχισης είτε συνολοσυσχετιστικές 2 ή 4 δρόμων. 1.2 Αρχιτεκτονική Superscalar Οι περισσότεροι επεξεργαστές γενικού σκοπού σήμερα είναι βασισμένοι στο πρότυπο του υπερβαθμωτού επεξεργαστή με εκτέλεση εκτός σειράς. Η βασική ιδιότητα του είναι ότι μπορεί να εκτελέσει παραπάνω από μία εντολές σε έναν κύκλο ρολογιού. Παράλληλα η εκτέλεση εκτός σειράς επιτρέπει στον επεξεργαστή να εκτελεί εντολές σε διαφορετική σειρά από αυτή του προγράμματος, χωρίς ωστόσο να αλλάζει το τελικό αποτέλεσμα. Για να αναλυθεί ευκολότερα η λειτουργία ενός υπερβαθμωτού επεξεργαστή είναι προτιμότερο να χωριστείσε τρία μέρη, το front-end, το back-end και την ιεραρχία μνήμης. Το front-end του επεξεργαστή είναι υπεύθυνο για την ανάκληση εντολών από την μνήμη και την διοχέτευση τους στο issue stage, όπου και εκτελούνται. Ο αριθμός των εντολών που μπορεί να φέρει ο επεξεργαστής σε κάθε κύκλο ρολογιού εξαρτάται από το πλάτος (fetch width) της μονάδας ανάκλησης. Σε αυτό το σημείο η απόδοση του επεξεργαστή περιορίζεται από το ίδιο το πρόγραμμα εξαιτίας των εντολών διακλάδωσης (conditionals,breaks κτλ.) οι οποίες αλλάζουν την ροή του προγράμματος. Το γεγονός αυτό δημιουργεί μια χρονική ασυνέχεια μεταξύ της στιγμής που θα ανακληθεί η εντολή ( fetch ) μέχρι την στιγμή που θα ικανοποιηθούν οι προϋποθέσεις της ώστε να 17

18 γίνει commit και να εκτελεστεί. Καθ' όλη την διάρκεια του διαστήματος αυτού, ο επεξεργαστής δεν μπορεί να συνεχίσει να ανακαλεί εντολές, αφού δεν γνωρίζει από ποιο σημείο του κώδικα θα συνεχίσει η εκτέλεση του προγραμματος. Η λύση στο πρόβλημα αυτό ονομάζεται πρόβλεψη διακλαδώσεων ( branch prediction ) και πρόκειται για μια σειρά από τεχνικές με τις οποίες είναι δυνατόν να προβλεφθεί το αποτέλεσμα μιας εντολής διακλάδωσης πριν αυτή εκτελεστεί. Με βάση την πρόβλεψη αυτή συνεχίζουν να ανακαλούνται εντολές από την μνήμη και σε περίπτωση που η πρόβλεψη δεν ήταν σωστή, δεν λαμβάνονται τα αποτελέσματα των εντολών και το πρόγραμμα συνεχίζει να εκτελείται ακολουθώντας την σωστή πλέον διακλάδωση. Στη συνέχεια η εντολή αποκωδικοποιείται και ανακαλούνται τυχόν τελεστές που χρησιμοποιεί. Το στάδιο αυτό ονομάζεται έκδοση ( issue ). Mόλις η διαδικασία αυτή ολοκληρωθεί η εντολή αποστέλλεται (dispatch) στο back-end του επεξεργαστή ώστε να εκτελεστεί σε μία από τις λειτουργικές μονάδες. H λειτουργία αυτή δεν ακολουθεί την σειρά των εντολών του προγράμματος (out-of-order execution). Με αυτό τον τρόπο είναι δυνατόν να εκτελεστούν πρώτα εντολές που είναι έτοιμες και δεν εξαρτώνται από το αποτέλεσμα κάποιας άλλης εντολής. Έτσι δεν σπαταλώνται κύκλοι ρολογιού μέχρι να ανακληθούν δεδομένα από την μνήμη (latency hiding). Στη συνέχεια οι εντολές καταχωρούνται στον reorder buffer, o οποίος αναλαμβάνει να τις τοποθετήσει με την σειρά που εμφανίζονται στο πρόγραμμα. Το τελικό στάδιο εκτέλεσης είναι το (commit) όπου οι εντολές ενημερώνουν την κατάσταση του συστήματος με την σειρά που βρίσκονται στον reorder buffer και αποσύρονται από το instruction window του επεξεργαστή. Αυτό σημαίνει ότι αν η πρώτη εντολή (άρα και η παλαιότερη, αφού η δομή είναι FIFO ) του ROB δεν έχει ολοκληρωθεί τότε η εκτέλεση του προγράμματος αναστέλλεται μέχρις ότου γίνει commit. 1.3 Κατανάλωση ισχύος Αν και η κατανάλωση ισχύος στην αρχιτεκτονική υπολογιστών είναι ένα θέμα που απασχολεί σοβαρά την βιομηχανία τις δύο τελευταίες δεκαετίες, ενεργειακά και θερμικά προβλήματα υπήρχαν ήδη από την εποχή των πρώτων υπολογιστών. Για παράδειγμα ο ENIAC (ο πρώτος ηλεκτρονικός υπολογιστής γενικού σκοπού ) για να λειτουργήσει, απαιτούσε 174 kw. Είκοσι περίπου χρόνια αργότερα ο μικροεπεξεργαστής της Intel 4004, είχε την ίδια υπολογιστική ικανότητα με τον ENIAC και κατανάλωνε μόλις μερικά watt. H αποδοτική διαχείριση της ισχύος αποτελεί πλέον απαίτηση σε κάθε σύγχρονης αρχιτεκτονικής αφού προσφέρει οφέλη όπως : 18

19 επιμήκυνση της διάρκειας ζωής των μπαταριών φορητών συσκευών ή ενσωματωμένων συστημάτων. Μείωση μεγέθους και βάρους φορητών συσκευών λόγω μικρότερων μπαταριών. Μείωση των απαιτήσεων ψύξης. Η κατανάλωση ισχύος συνεπάγεται και την έκλυση μεγάλων ποσοτήτων θερμότητας πάνω στα ολοκληρωμένα κυκλώματα οι οποίες πρέπει να απαχθούν, τόσο για την απροβλημάτιστη λειτουργία τους όσο και για την επιμήκυνση της ζωής τους. Παράλληλα, η έκλυση θερμότητας αποτελεί ανασταλτικό παράγοντα για την αύξηση του βαθμού ολοκλήρωσης και την ενσωμάτωση ολοένα περισσότερων στοιχείων σε μικρές συσκευασίες (π.χ κινητά τηλέφωνα). Μείωση κόστους λειτουργίας. Η μείωση της κατανάλωσης ισχύος, ακόμη και της τάξης των μερικών Watt για κάθε επεξεργαστή, όταν πρόκειται για εγκαταστάσεις μεγάλης κλίμακας ( π.χ βιομηχανικές εγκαταστάσεις, data centers κτλ. ) εξοικονομεί σε πρώτο επίπεδο ενέργεια και δεύτερο μειώνει τις απαιτήσεις για ψύξη των εγκαταστάσεων Επίτευξη υψηλότερων χρονισμών ρολογιού Κατανάλωση ισχύος στα CMOS κυκλώματα Την δεκαετία του '80, η ολοένα αυξανόμενη ολοκλήρωση των chip σε συνδυασμό με την χρήση τεχνολογιών βασισμένων σε διπολικά τρανσίστορ, οδήγησαν σε μια ταχύτατη αύξηση τόσο της κατανάλωσης όσο και της πυκνότητας (power density).η μεταστροφή από την διπολική στην τεχνολογία CMOS στις αρχές του '90 παρείχε μια προσωρινή λύση στο πρόβλημα. Η CMOS τεχνολογία διέθετε ελκυστικά χαρακτηριστικά για την χρήση της σε υπολογιστικά συστήματα, αφού κατανάλωνε ισχύ μόνο κατά τις μεταβάσεις κατά την διακοπτική λειτουργία, ενώ στην τότε τεχνολογία ολοκλήρωσης τα ρεύματα διαρροής από την πύλη θεωρούνταν μηδαμινά. Η κατανάλωση στα CMOS κυκλώματα χωρίζεται σε τρία μέρη, την δυναμική, την στατική και την ισχύ βραχυκυκλώματος. Η δυναμική κατανάλωση ισχύος, που στα CMOS κυκλώματα αποτελεί το μεγαλύτερο μέρος της συνολικής κατανάλωσης. περιγράφεται από την σχέση : P R =C V 2 A f (1.1) όπου C η χωρητικότητα του κυκλώματος, V η τάση λειτουργίας, Α είναι ο παράγοντας διακοπτικής ρυθμός δραστηριότητας, και f η συχνότητα λειτουργίας του κυκλώματος. Στην συνέχεια γίνεται μια περεταίρω ανάλυση του κάθε παράγοντα ξεχωριστά : 19

20 Χωρητικότητα ( C ) : Η χωρητικότητα ενός κυκλώματος εξαρτάται σημαντικά από το μήκος των καλωδίων/διασυνδέσεων και το δομών του chip. Τάση τροφοδοσίας ( V ) : Η τάση τροφοδοσίας παίζει σημαντικό ρόλο στην κατανάλωση λόγω της τετραγωνικής σχέσης που έχει με την ισχύ. Για τον λόγο αυτό σε κάθε νέα γενιά επεξεργαστών γίνεται προσπάθεια ώστε να μειωθεί. Ωστόσο με την παράλληλη σμίκρυνση του μεγέθους των transistor, η μείωση της τάσης τροφοδοσίας μπορεί να δημιουργήσει προβλήματα όπως μειωμένο noise margin, φαινόμενα cross talk κτλ. ιακοπτικός Ρυθμός ραστηριότητας ( A ): Ο διακοπτικός ρυθμός δραστηριότητας, του οποίου οι τιμές κυμαίνονται από 0 έως 1, εκφράζει το πόσο συχνά γίνονται μεταβάσεις σε ένα καλώδιο από 0 σε 1 ή από 1 σε 0. Αν και το ρολόι προφανώς δουλεύει στο μέγιστο της συχνότητας του, όλο το υπόλοιπο κύκλωμα έχει έναν ρυθμό δραστηριότητας κάτω του 1. Συχνότητα ρολογιού ( f ) : Η συχνότητα ρολογιού πέρα από την άμεση σχέση που έχει στην δυναμική κατανάλωση, την επηρεάζει και έμμεσα αφού μεγαλύτερη συχνότητα σημαίνει μεγαλύτερη τάση τροφοδοσίας. Για να αντιμετωπιστεί αυτό έχουν σχεδιαστεί διάφορες τεχνικές όπως το frequency scaling που αναγνωρίζει ποιες περιόδους ο επεξεργαστής μπορεί να λειτουργήσει σε χαμηλότερη συχνότητα και άρα να μειωθεί και η τάση τροφοδοσίας. H στατική ισχύς είναι αυτή που καταναλώνεται όταν το κύκλωμα βρίσκεται σε κατάσταση ηρεμίας. Αν και για αρκετά χρόνια θεωρούνταν ασήμαντη παράμετρος, με την εξέλιξη της τεχνολογίας και την κατασκευή transistor ολοένα και μικρότερου μεγέθους, σήμερα αποτελεί το περίπου το 20% της συνολικά καταναλισκόμενης ισχύος και αναμένεται να αυξηθεί στα επόμενα χρόνια. Αν και στα CMOS κυκλώματα το ρεύμα μόνιμης κατάστασης είναι μηδενικό, ωστόσο δημιουργούνται ρεύματα διαρροής λόγω των παρασιτικών διόδων που σχηματίζονται μεταξύ του υποστρώματος και της πύλης. Η ισχύς βραχυκυκλώματος είναι η ισχύς που καταναλώνεται κατά την αλλαγή κατάστασης μιας λογικής πύλης CMOS λόγω της ταυτόχρονης αγωγής των NMOS και PMOS transistors του κυκλώματος η οποία δημιουργεί μία διαδρομή για το ρεύμα από την τάση τροφοδοσίας στη γη. Η ισχύς αυτή αποτελεί πολύ μικρό ποσοστό της συνολικής κατανάλωσης Η συμβολή των κρυφών μνημών στην κατανάλωση ισχύος Η ισχύς που καταναλώνεται κατά τις προσπελάσεις κύριας και κρυφής μνήμης αποτελεί σημαντικό ποσοστό της συνολικής κατανάλωσης ισχύος ενός υπολογιστικού συστήματος. Για παράδειγμα η κρυφή μνήμη του επεξεργαστή StrongARM SA110 (1996) κατανάλωνε το 43% της συνολικής ισχύος του chip [4].Η συνολική ενέργεια που καταναλώνεται στην κρυφή μνήμη μπορεί 20

21 να μοντελοποιηθεί καταμερίζοντας την ως εξής : Εaddress : H ενέργεια που καταναλώνεται στον δίαυλο διευθύνσεων και στην αποκωδικοποίηση της διεύθυνσης στον decoder. Συνήθως η χωρητικότητα του διαύλου διευθύνσεων, ο οποίος στην ουσία είναι γραμμή μεταφοράς, είναι σημαντικά μεγαλύτερη από αυτή του λογικού κυκλώματος αποκωδικοποίησης. Ememory : Η ενέργεια που καταναλώνεται κατά την πρόσβαση στους υπο-πίνακες ετικετών και δεδομένων της κρυφής μνήμης, την οδήγηση των word και bit lines, καθώς και για την ενεργοποίηση των sense amplifiers. Η ενέργεια που καταναλώνεται σε αυτό το σημείο εξαρτάται κυρίως από τον αριθμό των συνολικών προσπελάσεων στην κρυφή μνήμη. ΕΙ/Ο : H ενέργεια που καταναλώνεται στους ακροδέκτες εισόδου/εξόδου. Οι ακροδέκτες αυτοί ενεργοποιούνται μόνο σε περίπτωση αστοχίας, επομένως ο ρυθμός αστοχίας ( miss rate ) επηρεάζει την κατανάλωση στην κρυφή μνήμη. Ο ρυθμός αστοχίας της κρυφής μνήμης παίζει σημαντικό ρόλο στην κατανάλωση αφού συχνές αστοχίες μεταφράζονται σε αυξημένες προσπελάσεις σε πιο υψηλά επίπεδα μνήμης τα οποία καταναλώνουν πολύ περισσότερη ενέργεια. Από τα παραπάνω, η συνολική ενέργεια που καταναλώνεται στην κρυφή μνήμη δίνεται από την σχέση : E cache =E address + E memory + E (I /O) (1.2) 1.4 Τεχνικές μείωσης ισχύος στην κρυφή μνήμη Έχοντας προσδιορίσει τα δομικά στοιχεία της κρυφής μνήμης στα οποία καταναλώνεται ισχύς στην ενότητα 1.5 οι σχεδιάσεις που στοχεύουν στην καλύτερη ενεργειακή απόδοση των κρυφών μνημών χωρίζονται σε δύο κατηγορίες : στις σχεδιάσεις που στόχο έχουν την μείωση της κατανάλωσης ισχύος στις προσπελάσεις της κρυφής μνήμης, διατηρώντας τον ρυθμό αστοχιών όσο το δυνατόν σταθερό. Στις σχεδιάσεις που στόχο έχουν την μείωση του ρυθμού αστοχιών, άρα και των προσπελάσεων στη κύρια μνήμη, διατηρώντας όσο το δυνατόν πιο σταθερή την κατανάλωση στη κρυφή μνήμη. 21

22 Όπως φαίνεται και από την σχέση 1.1 η κατανάλωση ισχύος στην κρυφή μνήμη εξαρτάται σημαντικά από το μέγεθος της, το οποίο επηρεάζει την χωρητικότητα ( C ) του κυκλώματος. Ένας πιο ακριβής ισχυρισμός θα ήταν ότι η χωρητικότητα του κυκλώματος της κρυφής μνήμης εξαρτάται από το μέγεθος της περιοχής που είναι ενεργοποιημένο στην κρυφή μνήμη. Στην περίπτωση μιας συμβατικής αρχιτεκτονικής η ενεργοποιημένη περιοχή ισοδυναμεί με το συνολικό μέγεθος της μνήμης. Χωρίζοντας λοιπόν την κρυφή μνήμη σε υπο-περιοχές και ενεργοποιώντας κάθε μια από αυτές ξεχωριστά, δίνεται η δυνατότητα να μειωθεί η χωρητικότητα του κυκλώματος και συνεπώς η κατανάλωση ισχύος. Οι τεχνικές μείωσης κατανάλωσης στις κρυφές μνήμες που έχουν σαν στόχο τα παραπάνω, χωρίζονται σε δύο προσεγγίσεις [5] : στην δομική και την λειτουργική. Στην δομική προσέγγιση αλλάζει η οργάνωση της μνήμης αλλά η διαδικασία προσπέλασης σε αυτήν δεν αλλάζει. Σε αυτή την προσέγγιση περιλαμβάνονται τεχνικές οι οποίες υποδιαιρούν την κρυφή μνήμη σε μικρότερες περιοχές οι οποίες ενεργοποιούνται κάτω από διαφορετικές συνθήκες. Χαρακτηριστικά αναφέρονται οι τεχνικές Cache-subbanking και Region-Based caching [6]. Στην πρώτη, ο πίνακας δεδομένων της κρυφής μνήμης χωρίζεται με οριζόντια κατάτμηση σε μικρότερους υποπίνακες. Έτσι, όταν υπάρχει αναφορά σε κάποιο από τα δεδομένα της κρυφής μνήμης, ενεργοποιείται μόνο ο υποπίνακας που το περιέχει και όχι όλος ο πίνακας δεδομένων. Στην δεύτερη (Region-Based Caching), η κρυφή μνήμη χωρίζεται σε τρία μέρη με βάση την ιδιαιτερότητα των διαφορετικών τύπων δεδομένων που αποθηκεύονται : ένα μέρος κρατείται για τα δεδομένα της στοιβας, ένα δεύτερο για οικουμενικά (global) δεδομένα και ένα τρίτο για τους υπόλοιπους τύπους δεδομένων. Αντίστοιχα, ανάλογα με το είδος των δεδομένων που ζητούνται από τον επεξεργαστή, ενεργοποιείται και η κατάλληλη περιοχή. Η λειτουργική προσέγγιση αλλάζει τον τρόπο προσπέλασης της κρυφής μνήμης από την CPU με τρόπο ώστε να εξοικονομείται ισχύς, ωστόσο η δομή της παραμένει ίδια. Στις επόμενες υποενότητες παρουσιάζονται διάφορες τεχνικές που ακολουθούν την λειτουργική προσέγγιση Phased Cache Στην phased cache, όπως προτάθηκε αρχικά από τον Hasegawa [7], η προσπέλαση στην κρυφή μνήμη χωρίζεται σε δύο φάσεις. Αρχικά ελέγχονται όλες οι ετικέτες ταυτόχρονα χωρίς να υπάρχει πρόσβαση σε δεδομένα. Σε περίπτωση που υπάρχει ευστοχία τότε γίνεται προσπέλαση των δεδομένων στον δρόμο που ανιχνεύθηκε. Διαφορετικά, σε περίπτωση αστοχίας, δεν υπάρχει καμία προσπέλαση στα δεδομένα. 22

23 Σχήμα 1.8 Προσπέλαση της phased cache Το σχήμα 1.8 παρουσιάζει την διαδικασία προσπέλασης σε μία συμβατική κρυφή μνήμη 4- δρόμων και σε μία phased cache αντίστοιχα 4 δρόμων. Όπως φαίνεται, χωρίζοντας την προσπέλαση σε δύο φάσεις, προσθέτουμε μια ποινή σε περίπτωση ευστοχίας, μιας και η προσπέλαση στα δεδομένα χρειάζεται τους διπλάσιους κύκλους ρολογιού. Η μέση κατανάλωση ενέργειας και ο μέσος χρόνος προσπέλασης της παραπάνω μεθόδου για μια κρυφή μνήμη Ν δρόμων, μπορούν να εκφραστούν με τις παρακάτω σχέσεις : όπου CHR είναι το Cache Hit Rate. E Phased =N E Tag +CHR E data Τ Phased =1+CHR Way-Predicting Cache H way-predicting cache επιλέγει έναν από τους Ν διαθέσιμους δρόμους πριν την κανονική προσπέλαση στην κρυφή μνήμη. Στην συνέχεια προσπελάζεται ο επιλεγμένος δρόμος. Αν η πρόβλεψη είναι σωστή τότε η προσπέλαση έχει ολοκληρωθεί επιτυχώς. Διαφορετικά, η κρυφή μνήμη αναζητά το δεδομένο στους υπόλοιπους δρόμους όπως φαίνεται και στο σχήμα

24 Σχήμα 1.9 Προσπέλαση της way-predicting cache Στην περίπτωση της επιτυχημένης πρόβλεψης καταναλώνεται ενέργεια για την ενεργοποίηση μόνο του ενός δρόμου της κρυφής μνήμης, ενώ η προσπέλαση ολοκληρώνεται σε έναν κύκλο ρολογιού. Σε περίπτωση αποτυχημένης πρόβλεψης, η προσπέλαση στην μνήμη έχει μία επιπλέον φάση κατά την διάρκεια της οποίας προσπελάζονται οι υπόλοιποι δρόμοι σε έναν επιπλέον κύκλο ρολογιού. Σε αυτό το σενάριο η τεχνική αυτή δεν προσφέρει μείωση στην κατανάλωση, αντίθετα εισάγει καθυστέρηση. Συνεπώς η αποδοτικότητα της μεθόδου αυτής έγκειται στον αλγόριθμο πρόβλεψης του σωστού δρόμου. Όπως και για την phased cache, η μέση κατανάλωση και ο μέσος χρόνος προσπέλασης της μνήμης δίνονται από τις παρακάτω σχέσεις : E WPcache =(E Tag +E Data )+(1 PHR) ( N 1)(E Tag +E Data ) T WPcache =1+(1 PHR) 1 όπου PHR είναι το Prediction Hit Rate, δηλαδή το ποσοστό επιτυχημένων προβλέψεων του αλγορίθμου πρόβλεψης. 24

25 2 Μεθοδολογία Στο κεφάλαιο αυτό θα γίνει περιγραφή της μεθοδολογίας αντιμετώπισης και προσέγγισης των θεμάτων που διερευνήθηκαν. 2.1 Εξομοίωση συστήματος σε επίπεδο αρχιτεκτονικής H πολυπλοκότητα των σύγχρονων υπολογιστικών συστημάτων καθιστά την αξιολόγηση τους μια αρκετά σύνθετη διαδικασία. Η προσομοίωση της συμπεριφοράς ενός συστήματος με χρήση λογισμικού πριν από οποιαδήποτε υλοποίηση σε επίπεδο υλικού προσφέρει σημαντική εξοικονόμηση χρόνου και πόρων, αφού οποιαδήποτε αλλαγή στην αρχιτεκτονική ισούται με αλλαγή στον κώδικα του εξομοιωτή και o έλεγχος της απόδοσης της μπορεί να είναι άμεσος. Για τις ανάγκες αυτής της διπλωματικής χρησιμοποιήθηκε ο εξομοιωτής SimpleScalar ούτως ώστε να μελετηθεί η λειτουργία και η συμπεριφορά των αρχιτεκτονικών Phased και Way-Predicting Cache κατά την εφαρμογή τους σε διάφορα επίπεδα της ιεραρχίας μνήμης. O SimpleScalar είναι ένας εξομοιωτής ανοιχτού κώδικα, ο οποίος περιλαμβάνει ένα σετ εργαλείων για πραγματοποίηση γρήγορων και λεπτομερών εξομοιώσεων μοντέρνων επεξεργαστών υλοποιημένων με την αρχιτεκτονική SimpleScalar (κοντινό παράγωγο της αρχιτεκτονικής MIPS). Τα πλεονεκτήματα του εργαλείου αυτού είναι η ευελιξία, η φορητότητα, η επεκτασιμότητα και η απόδοση του. Περιλαμβάνει πέντε διαφορετικούς εξομοιωτές που ποικίλουν από πολύ γρήγορους λειτουργικούς εξομοιωτές μέχρι λεπτομερείς εξομοιωτές επεξεργαστών που υποστηρίζουν out-of-order εκτέλεση, non-blocking μνήμες και υποθετική εκτέλεση. Για την εκπόνηση της διπλωματικής, χρησιμοποιήθηκε ο εξομοιωτής sim-outorder ο οποίος είναι ο πιο λεπτομερής και περίπλοκος του πακέτου. Συγκεκριμένα παρέχει την δυνατότητα παρακολούθησης της εκτέλεσης του προγράμματος κύκλο προς κύκλο (cycle-by-cycle) καθώς και μία πληθώρα στατιστικών μεταξύ των οποίων πληροφορίες για κάθε επίπεδο της ιεαραρχίας μνήμης όπως π.χ hits, misses, writebacks, accesses κ.α. Επίσης ο sim-outorder δίνει την δυνατότητα στον χρήστη να αλλάζει διάφορα χαρακτηριστικά του επεξεργαστή αλλάζοντας απλά τις τιμές των παραμέτρων σε ένα αρχείο ρυθμίσεων. 2.2 Μοντέλο του υπερβαθμωτού επεξεργαστή Η δομή του superscalar επεξεργαστή που μοντελοποιείται από τον εξομοιωτή sim-outorder παρουσιάζεται στο παρακάτω διάγραμμα μπλοκ. 25

26 Σχήμα 2.1 Διαγραμμα μπλοκ του sim-outorder Ο εξομοιωτής υποστηρίζει εκτέλεση εκτός σειράς χρησιμοποιώντας την δομή του Register Update Unit (RUU). O RUU (σχήμα 2.2) περιλαμβάνει τον reorder buffer ο οποίος είναι υπεύθυνος για την μετονομασία των καταχωρητών (register renaming) και διατηρεί τα αποτελέσματα εντολών που βρίσκονται σε αναμονή. Σε κάθε κύκλο ρολογιού o reorder buffer αποσύρει τις εντολές που έχουν ολοκληρωθεί με την αρχική σειρά που εμφανίζονται στον κώδικα. Σχήμα 2.2 Διαγραμμα μπλοκ του RUU Ο επεξεργαστής του sim-outorder χρησιμοποιεί επίσης στην αρχιτεκτονική της μνήμης του την δομή Load/Store Queue (LSQ). Η ουρά αυτή περιλαμβάνει όλες τις εντολές αποθήκευσης (store) και ανάκλησης (load) και υπολογίζει τις πραγματικές διευθύνσεις δεδομένων μέσα στην ιεραρχία μνήμης του επεξεργαστή. Επίσης διαχειρίζεται εντολές ανάκλησης δεδομένων (load) είτε με απεύθυνση στην ιεραρχία μνήμης είτε χρησιμοποιώντας δεδομένα που βρίσκονται στο LSQ από κάποιο προηγούμενο store. 26

27 Σχήμα 2.3 Διαγραμμα μπλοκ του LSQ Η κύρια επανάληψη που εκτελεί ο SimpleScalar για κάθε κύκλο ρολογιού έχει την παρακάτω δομή : ruu_init(); for (;;) { ruu_commit(); ruu_writeback(); lsq_refresh(); ruu_issue(); ruu_dispatch(); ruu_fetch(); } Συνοπτικά οι λειτουργίες που επιτελούν οι παραπάνω συναρτήσεις είναι οι ακόλουθες : ruu_fetch(): φέρνει εντολές από την I-cache, τις τοποθετεί στο Instruction Fetch Queue (IFQ) και δίνει εντολή στον branch predictor να επιλέξει την γραμμή της κρυφής μνήμης που θα προσπελαστεί στον επόμενο κύκλο. ruu_dispatch(): παίρνει όσες εντολές από το IFQ του επιτρέπει το width που ορίζεται από τις ρυθμίσεις και τις αποκωδικοποιεί ruu_issue(): στέλνει τις εντολές για τις οποίες έχουν ικανοποιηθεί όλα τα dependancies προς εκτέλεση στις λειτουργικές μονάδες. ruu_writeback(): ελέγχει ποιες εντολές έχουν ολοκληρωθεί και ποιες εντολές που εκκρεμούν εξαρτώνται από τα αποτελέσματα των πρώτων. Επίσης ελέγχει αν έχει συμβεί λάθος πρόβλεψη (branch misprediction) και αν ναι, επαναφέρει την κατάσταση του επεξεργαστή σε σημείο πριν την διακλάδωση. ruu_commit(): ενημερώνει τα RUU, LSQ και την κατάσταση της D-cache μετά την ολοκλήρωση της εντολής. 27

28 2.4 Το μοντέλο CACTI Το CACTI είναι ένα εργαλείο που ενσωματώνει μοντέλα της κρυφής μνήμης για τους χρονισμούς προσπέλασης, τη, τον κύκλο ρολογιού, την περιοχή που καταλαμβάνει πάνω στο chip και την δυναμική κατανάλωση.χωρίζει την κρυφή μνήμη στα δομικά της μέρη δηλαδή τον αποκωδικοποιητή (decoder), τα wordlines, τα bitlines, τους ενισχυτές στάθμης (sense amplifiers, τους συγκριτές (comparators), τους πολυπλέκτες και τους οδηγούς εξόδου και τα μοντελοποιεί τόσο από την σκοπιά της καθυστέρησης όσο και από το ποσοστό επί της συνολικής κατανάλωσης που εισάγουν στο κύκλωμα της κρυφής μνήμης. Το σχήμα 2.1 απεικονίζει την βασική δομή μιας κρυφής μνήμης όπως μοντελοποιείται από το CACTI Σχήμα 2.2 Μοντελοποίηση κρυφής μνήμης από το CACTI Στα πλαίσια της λειτουργίας αυτής, το CACTI δέχεται στην είσοδο του τις κυριότερες παραμέτρους της κρυφής μνήμης και μέσω μιας διαδικασίας βελτιστοποίησης υπολογίζει τον βέλτιστο τρόπο οργάνωσης της μνήμης. Σαν είσοδο δέχεται τις παρακάτω παραμέτρους : 28

29 Παράμετρος C B A bo baddr Περιγραφή Mέγεθος κρυφής μνήμης σε bytes Mέγεθος block σε bytes Συσχετικότητα (assosiativity) Μέγεθος εξόδου σε bit Μέγεθος διεύθυνσης σε bit Από τις τιμές των παραπάνω το CACTI υπολογίζει τις εξής παραμέτρους : Παράμετρος Περιγραφή N dwl N dbl N spd N twl Οριζόντια κατάτμηση του πίνακα δεδομένων Κάθετη κατάτμηση του πίνακα δεδομένων Αριθμός των set που αντιστοιχούν σε ένα wordline του πίνακα δεδομένων Οριζόντια κατάτμηση του πίνακα ετικετών (tag array) N tbl Κάθετη κατάτμηση του πίνακα ετικετών ( tag array ) N tspd Αριθμός των set που αντιστοιχούν σε ένα bitline του πίνακα ετικετών Σκοπός της διαδικασίας αυτής είναι, για δεδομένα χαρακτηριστικά μίας κρυφής μνήμης ( παράμετροι εισόδου ) να υπολογιστούν, μέσω εξαντλητικής αναζήτησης, οι βέλτιστες οργανωτικές παράμετροι που θα προσφέρουν την καλύτερη δυνατή κατανάλωση ισχύος, την μικρότερη δυνατή επιφάνεια και τον μικρότερο χρόνο προσπέλασης. 2.5 Μοντελοποίηση των αρχιτεκτονικών Για την εξομοίωση της συμπεριφοράς των προαναφερθέντων κρυφών μνημών είναι απαραίτητο να γίνουν ορισμένες παρεμβάσεις στον κώδικα του εξομοιωτή SimpleScalar. Η παραμετροποίηση αυτή παρουσιάζεται στο Παράρτημα Α. Η μοντελοποίηση των κρυφών μνημών χωρίζεται σε δύο κυρίως μέρη, στο λειτουργικό και το ενεργειακό. Το λειτουργικό αφορά τον τρόπο με τον οποίο ο επεξεργαστής προσπελαύνει την προς μελέτη κρυφή μνήμη. To ενεργειακό μέρος σχετίζεται με την χρήση του μοντέλου CACTI ώστε να μοντελοποιηθεί η κατανάλωση των δύο διαφορετικών κρυφών μνημών. To μοντέλο κατανάλωσης που παρέχει το CACTI κατανέμει την ισχύ μίας κρυφής μνήμης στα εξής δομικά της μέρη : 29

30 πίνακας ετικετών (tag array) αποκωδικοποιητής (decoder) wordlines bitlines ενισχυτές στάθμης (sense amplifiers) Μοντελοποίηση της Phased Cache Όπως αναφέρθηκε και στην παράγραφο η Phased cache χωρίζει την προσπέλαση της κρυφής μνήμης σε δύο φάσεις. Στην πρώτη γίνεται έλεγχος όλων των ετικετών (tags) ενός σετ παράλληλα, χωρίς να υπάρχει κάποια πρόσβαση στα δεδομένα. Αν υπάρξει αστοχία τότε δεν γίνεται καμία πρόσβαση στα δεδομένα και η προσπέλαση ολοκληρώνεται. Αν υπάρξει ευστοχία τότε υπάρχει μία δεύτερη φάση κατά την οποία γίνεται η πρόσβαση στα δεδομένα. Λόγω του ότι κάθε επίπεδο στην ιεραρχία μνήμης έχει διαφορετικούς χρόνους προσπέλασης (π.χ η D-cache L1 απαιτεί αρκετά λιγότερους κύκλους ρολογιού από την L2 ) όταν μία προσπέλαση έχει δύο φάσεις ( το ίδιο ισχύει και για το way-prediction ) θεωρείται ότι απαιτούνται οι διπλάσιοι κύκλοι ρολογιού για να ολοκληρωθεί σε σχέση με την μία φάση. Για το ενεργειακό μέρος του μοντέλου, διακρίνουμε δύο διαφορετικές περιπτώσεις όπου διαφοροποιείται η κατανάλωση σε μια phased cache, την περίπτωση της ευστοχίας και της αστοχίας. Στην πρώτη φάση της προσπέλασης χρησιμοποιείται ο αποκωδικοποιητής και στην συνέχεια ελέγχεται το tag array για ταύτιση των ετικετών. Η σύγκριση των ετικετών γίνεται από τους συγκριτές, η έξοδος των οποίων περνάει από τους ενισχυτές στάθμης. Αν δεν υπάρχει κάποια ταύτιση τότε υπάρχει αστοχία και η προσπέλαση τερματίζεται. Αν υπάρχει ευστοχία τότε στη δεύτερη φάση προσπελαύνεται μόνο το way των δεδομένων στο οποίο υπήρξε ταύτιση των ετικετών. Συνεπώς όσο μεγαλύτερο το associativity της κρυφής μνήμης, τόσο μεγαλύτερη εξοικονόμηση ισχύος υπάρχει συγκριτικά με μια κρυφή μνήμη συμβατικής αρχιτεκτονικής. O κώδικας για την Phased Cache βρίσκεται στο Παράρτημα Β Μοντελοποίηση της Way-Predicting Cache Αντίστοιχα για την Way-Predicting cache υλοποιείται ένας μηχανισμός καταγραφής και εντοπισμού του MRU way σε κάθε σετ της κρυφής μνήμης. Για τις ανάγκες της εργασίας αυτής θεωρείται ότι ο χρόνος προσπέλασης του καταχωρητή που αποθηκεύει το MRU way είναι αμελητέος. Πριν την κανονική προσπέλαση, εισάγεται ένα στάδιο το οποίο ελέγχει ποιο είναι το πιο πρόσφατα χρησιμοποιηθέν (MRU) way. Ακολουθεί ένας δεύτερος έλεγχος κατά τον οποίο 30

31 ελέγχεται η ετικέτα του μπλοκ που βρίσκεται στο way αυτό. Αν υπάρχει ευστοχία μέσω της πρόβλεψης αυτής τότε η προσπέλαση ολοκληρώνεται σε μια φάση. Διαφορετικά υπάρχει και δεύτερη φάση κατά την οποία προσπελάζονται κανονικά και τα υπόλοιπα ways. Όσον αφορά το ενεργειακό κομμάτι της way-predicting cache και εδώ διακρίνονται δύο διαφορετικές περιπτώσεις. Στην πρώτη φάση χρησιμοποιείται ο αποκωδικοποιητής και προσπελαύνεται το tag και data αrray του ΜRU way. Αν υπάρχει σωστή πρόβλεψη τότε η προσπέλαση της κρυφής μνήμης ολοκληρώνεται σε μία φάση. Διαφορετικά υπάρχει μία επιπλέον φάση στην οποία προσπελαύονται όλα τα υπόλοιπα ways του σετ. Η παραδοχή που εφαρμόζεται είναι ότι η ισχύς που καταναλώνεται στις γραμμές των bit, στο tag array και τους sense amplifiers ισοκατανέμεται στα ways της κρυφής μνήμης. Επομένως από την στιγμή που σε μία σωστή πρόβλεψη ενεργοποιείται μόνο ο ένας από τους Ν δρόμους, όπου Ν το assosiativity της κρυφής μνήμης, η κατανάλωση σε αυτά τα λειτουργικά μέρη διαιρείται διά Ν. O κώδικας για την Way-predicting cache βρίσκεται στο Παράρτημα Β. 3 Μετρήσεις Στο κεφάλαιο αυτό γίνεται η παρουσίαση των μετρήσεων που έγιναν μετά από εξομοιώσεις μιας σειράς αρχιτεκτονικών ιεραρχίας κρυφών μνημών. 3.1 Επιλογή χαρακτηριστικών κρυφών μνημών Οι τεχνικές phased και way-predicting cache εφαρμόστηκαν σε όλα τα επίπεδα της ιεραρχίας της κρυφής μνήμης ενός επεξεργαστή με σκοπό την εύρεση της μέγιστης δυνατής απόδοσης. Επίσης για κάθε επίπεδο εξετάστηκε η απόδοση των τεχνικών αυτών σε σχέση με την μεταβολή διάφορων παραμέτρων όπως είναι το μέγεθος κρυφής μνήμης, ο αριθμός των ways και το μέγεθος block. Πιο αναλυτικά : Για την Data Cache Level 1 Μέγεθος Cache Assosiativity Μέγεθος μπλοκ 8-256KB 2/4/8/16-way 32 και 64 bytes 31

32 Για την Data Cache Level 2 Μέγεθος Cache Assosiativity Μέγεθος μπλοκ 128KB- 4MB 2/4/8/16-way 64 και 128 bytes Για την Instruction Cache Level 1 Μέγεθος Cache Assosiativity Μέγεθος μπλοκ 8KB- 128KB 2/4/8/16-way 32 και 64 bytes Οι τιμές αυτές επιλέχθηκαν με βάση τα χαρακτηριστικά των κρυφών μνημών προηγούμενων και σύγχρονων τεχνολογιών και με μια πρόβλεψη για πιθανές μελλοντικές τιμές τους. 3.2 Επιλογή λοιπών χαρακτηριστικών επεξεργαστή Για τις εκτέλεση των μετρήσεων ο επεξεργαστής που χρησιμοποιείται έχει τα παρακάτω χαρακτηριστικά όπως δίνονται και από το αρχείο ρυθμίσεων που δέχεται σαν είσοδο ο εξομοιωτής sim-outorder : Fetch Width 4 Issue Width 4 Commit Width 4 RUU 20 LSQ 10 Data cache L1 latency 1 Data cache L2 latency 12 Instruction cache L1 latency Επιλογή μετροπρογραμμάτων Για την αποτίμηση των αρχιτεκτονικών αυτών έγινε μια σειρά εξομοιώσεων λειτουργίας με χρήση των μετροπρογραμμάτων της σουίτας SPEC2000. Σκοπός της χρήσης μετροπρογραμμάτων 32

33 είναι να προσομοιώσουν πραγματικά φορτία που μπορεί να δεχτεί ένα υπολογιστικό σύστημα με τρόπο ώστε να είναι συγκρίσιμη η απόδοση μεταξύ συστημάτων. Ο οργανισμός Standard Performance Evaluation Corporation ( SPEC ) παρέχει διάφορα πακέτα μετροπρογραμμάτων, καθένα στοχευμένο για την αξιολόγηση είτε λειτουργικών μερών ενός συστήματος ( π.χ CPU, GPU ) είτε της απόδοσης σε διάφορα σενάρια λειτουργίας (π.χ java client/server, mail servers, network storage). Στα πλαίσια των μετρήσεων χρησιμοποιήθηκε ένα μέρος της σουίτας SPEC CPU2000 η οποία προορίζεται για αξιολόγηση της απόδοσης του επεξεργαστή. Χωρίζεται σε δύο μέρη, το CINT2000 το οποίο περιέχει μετροπρογράμματα τα οποία χειρίζονται κατά βάση ακέραιους αριθμούς και το CFP2000 για δεκαδικούς. Όλα είναι γραμμένα σε ANSI εκτός από ένα (252.eon) το οποίο είναι σε C++. Από το CINT2000 χρηισιμοποιούνται τα : 176.gcc 197.parser 253.perlbmk 255.vortex Από το CFP2000 χρησιμοποιούνται τα : 172.mgrid 179.art 171.swim Η επιλογή των μετροπρογραμμάτων έχει γίνει έτσι ώστε να εξεταστούν όσο το δυνατόν περισσότερα σενάρια λειτουργίας και να αποτιμηθεί η συμπεριφορά των κρυφών μνημών. Όλες οι εξομοιώσεις εκτελέστηκαν για 200 εκατομμύρια εντολές αφού είχε προηγηθεί μία παράκαμψη (skip) του πρώτου δισεκατομμυρίου εντολών κάθε μετροπρογράμματος ώστε να αποφευχθούν μη χαρακτηριστικές συμπεριφορές που εμφανίζονται κατά την έναρξη του κάθε προγράμματος. 3.4 Μετρήσεις για την Data Cache L1 Στο γράφημα 1 παρουσιάζεται η εξάρτηση του χρόνου προσπέλασης από το μέγεθος της κρυφής μνήμης. O χρόνος προσπέλασης υπολογίζεται από το CACTI με βάση τις δοθέντες παραμέτρους εισόδου. Όπως φαίνεται από το διάγραμμα, ο χρόνος προσπέλασης αυξάνεται την αύξηση του μεγέθους της μνήμης, κάτι που ισχύει για οποιαδήποτε βαθμίδα της ιεραρχίας μνήμης. Επίσης η αύξηση του αριθμού των δρόμων της μνήμης επηρεάζει αρνητικά τον χρόνο προσπέλασης, ειδικά σε μεγάλα associativity. H αρνητική επίδραση των δύο αυτών παραγόντων οφείλεται στην αυξανόμενη πολυπλοκότητα των λογικών κυκλωμάτων (αποκωδικοποιητών, με 33

34 πολυπλεκτών) η οποία δημιουργεί καθυστερήσεις και αυξάνει τον χρόνο προσπέλασης. Για τον λόγο αυτό οι κρυφές μνήμες πρώτου επιπέδου σχεδιάζονται μικρές σε μέγεθος και τις περισσότερες φορές με χαμηλό associativity ( συνήθως έως 8-way associative ). Access time 2.00E E E E E E E E E E E+000 8KB 16KB 32KB 64KB 128KB 256KB Cache Size 2-w ay 4-w ay 8-w ay 16-w ay Γράφημα 1: Χρόνος προσπέλασης DL1 Στο γράφημα 2 παρουσιάζεται το ποσοστό επί της συνολικής κατανάλωσης του επεξεργαστή που αντιστοιχεί σε μία συμβατική αρχιτεκτονική της D-Cache L1, σε συνάρτηση με το μέγεθος της. H αύξηση του ποσοστού για μικρά μεγέθη μνήμης και υψηλό associativity οφείλεται στην ενέργεια που καταναλώνεται στα bitlines, τα οποία αυξάνονται σημαντικά σε αριθμό, μειώνοντας τα περιθώρια βελτιστοποίησης που μπορεί να προσφέρει το CACTI στην δομή της κρυφής μνήμης % Processor Power way 4-way 8-way 16-way KB 16KB 32KB 64KB 128KB 256KB Cache Size Γράφημα 2: Κατανάλωση ισχύος DL1 34

35 3.4.1 Phased Cache Εφαρμόζοντας την τεχνική της Phased cache στην κρυφή μνήμη DL1, η ισχύς που καταναλώνεται σε περίπτωση ευστοχίας (γράφημα 3) και αστοχίας (γράφημα 4) εξαρτάται σε μεγάλο βαθμό από το associativity της κρυφής μνήμης. Για μικρό associativity υπάρχει μεγάλη διαφορά στην εξοικονόμηση που παρέχει η phased cache μεταξύ μιας ευστοχίας και μιας αστοχίας. Ωστόσο, επειδή η ισχύς που καταναλώνεται σε μια ευστοχία είναι σχεδόν αντιστρόφως ανάλογη του associativity, η διαφορά αυτή μειώνεται για μεγάλο αριθμό δρόμων. % Phased Hit/Normal access power 120.0% 100.0% 80.0% 60.0% 40.0% 20.0% 0.0% 8KB 16KB 32KB 64KB 128KB Cache Size 2-way 4-way 8-way 16-way Γράφημα 3: Phased Cache - Κατανάλωση σε ευστοχία % Phased Miss/Normal Access power 70.0% 60.0% 50.0% 40.0% 30.0% 20.0% 10.0% 0.0% 8KB 16KB 32KB 64KB 128KB Cache Size 2-way 4-way 8-way 16-way Γράφημα 4: Phased Cache - Κατανάλωση σε αστοχία 35

36 Στο γράφημα 5 παρουσιάζεται αντίστοιχα ο ρυθμός αστοχίας για 8-way associativity. Ο ρυθμός αστοχίας κάθε φορτίου διαμορφώνεται και από τις τρεις κατηγορίες αστοχιών. Το ποσοστό με το οποίο κάθε κατηγορία επηρεάζει τον συνολικό ρυθμό αστοχίας εξαρτάται από την συμπεριφορά του φορτίου. Από την ανάλυση του ρυθμού αστοχίας στην D-Cache L1 για όλα τα φορτία, εξάγεται το συμπέρασμα ότι σε πολύ μικρό ποσοστό συμβάλλουν οι αστοχίες σύγκρουσης, αφού η αύξηση της συνολοσυσχετιστικότητας δεν φάνηκε να μειώνει σημαντικά τον αριθμό αστοχιών % 40.00% Miss Rate 35.00% 30.00% 25.00% 20.00% 15.00% 10.00% 5.00% 0.00% 8KB 16KB 32KB 64KB 128KB 256KB Cache Size art gcc parser perlbmk mgrid vortex swim Γράφημα 5: Ρυθμός αστοχίας για 8-way assoc. Λαμβάνοντας υπόψιν την απαίτηση για χαμηλό χρόνο προσπέλασης της D-Cache L1, αλλά και την μέγιστη δυνατή απόδοση της phased cache στο γράφημα 6 απεικονίζεται η κατανάλωση ισχύος για μια κρυφή μνήμη 8-δρόμων, κανονικοποιημένη ως προς την κατανάλωση μιας συμβατικής κρυφής μνήμης. 36

37 Power Consumption KB 16KB 32KB 64KB 128KB 256KB Cache Size art gcc parser perlbmk mgrid vortex swim Γράφημα 6: Κατανάλωση phased DL1 για 8-way assoc. Σε όλες τις περιπτώσεις υπάρχει μια μείωση μεγαλύτερη από 60%. Όμως ο χαμηλός σχετικά ρυθμός αστοχίας που παρατηρείται για τα περισσότερα φορτία εισάγει μία σημαντική καθυστέρηση στην προσπέλαση της κρυφής μνήμης εφόσον στην περίπτωση ευστοχίας η πρόσβαση στα δεδομένα γίνεται σε έναν επιπλέον κύκλο ρολογιού. Στο γράφημα 7 απεικονίζεται ο συνολικός χρόνος προσπέλασης στην phased DL1, κανονικοποιημένος ως προς τον χρόνο της αντίστοιχης συμβατικής DL Cache-access time KB 16KB 32KB 64KB 128KB 256KB Cache Size art gcc parser perlbmk mgrid vortex swim Γράφημα 7: Χρόνος προσπέλασης phased DL1, 8-way assoc. Από το παραπάνω γράφημα παρατηρείται ότι ο συνολικός χρόνος πρόσβασης στην κρυφή 37

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 7ο μάθημα: Κρυφές μνήμες (cache) - εισαγωγή Αρης Ευθυμίου Πηγές διαφανειών: συνοδευτικές διαφάνειες αγγλικης εκδοσης του βιβλιου Σύστημα μνήμης! Η μνήμη είναι σημαντικό

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Ιεραρχία συχνά και το

Διαβάστε περισσότερα

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Για βελτίωση της απόδοσης

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua.gr ΑΡΧΙΤΕΚΤΟΝΙΚΗ

Διαβάστε περισσότερα

Ασκήσεις Caches

Ασκήσεις Caches Ασκήσεις Caches 1 Άσκηση 1η Θεωρήστε ένα σύστημα μνήμης με μία cache: 4-way set associative μεγέθους 256ΚΒ, με cache line 8 λέξεων. Χαρακτηριστικά συστήματος μνήμης: μέγεθος της λέξης είναι 32 bits. 1

Διαβάστε περισσότερα

Άσκηση 1η. Θεωρήστε ένα σύστημα μνήμης με μία cache: 4 way set associative μεγέθους 256ΚΒ,

Άσκηση 1η. Θεωρήστε ένα σύστημα μνήμης με μία cache: 4 way set associative μεγέθους 256ΚΒ, Ασκήσεις Caches Άσκηση 1η Θεωρήστε ένα σύστημα μνήμης με μία cache: 4 way set associative μεγέθους 256ΚΒ, με cache line 8 λέξεων. Χαρακτηριστικά συστήματος μνήμης: μέγεθος της λέξης είναι 32 bits. 1 byte

Διαβάστε περισσότερα

ΠΛΕ- 027 Μικροεπεξεργαστές 9ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Κρυφές μνήμες εισαγωγή

ΠΛΕ- 027 Μικροεπεξεργαστές 9ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Κρυφές μνήμες εισαγωγή ΠΛΕ- 027 Μικροεπεξεργαστές 9ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Κρυφές μνήμες εισαγωγή Αρης Ευθυμίου Σύστημα μνήμης Η μνήμη είναι σημαντικό κομμάτι ενός υπολογιστή Επηρεάζει κόστος, ταχύτητα, κατανάλωση

Διαβάστε περισσότερα

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης i Στα σύγχρονα

Διαβάστε περισσότερα

Διάλεξη 15 Απόδοση της Ιεραρχίας Μνήμης Βελτιστοποίηση της απόδοσης

Διάλεξη 15 Απόδοση της Ιεραρχίας Μνήμης Βελτιστοποίηση της απόδοσης ΗΥ 232 Οργάνωση και Σχεδίαση Υπολογιστών Διάλεξη 5 Απόδοση της Ιεραρχίας Μνήμης Βελτιστοποίηση της απόδοσης Νίκος Μπέλλας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Πόσο μεγάλη είναι μια μνήμη cache;

Διαβάστε περισσότερα

Τεχνολογίες Κύριας Μνήμης

Τεχνολογίες Κύριας Μνήμης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κύρια Μνήμη

Διαβάστε περισσότερα

Ασκήσεις Caches

Ασκήσεις Caches Ασκήσεις Caches 1 Άσκηση 1η Θεωρήστε ένα σύστημα μνήμης με μία cache: 4-way set associative μεγέθους 256ΚΒ, με cache line 8 λέξεων. Χαρακτηριστικά συστήματος μνήμης: μέγεθος της λέξης είναι 32 bits. 1

Διαβάστε περισσότερα

Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών H/Y Department of Electrical and Computer Engineering. Εργαστήριο 8. Χειμερινό Εξάμηνο

Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών H/Y Department of Electrical and Computer Engineering. Εργαστήριο 8. Χειμερινό Εξάμηνο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών H/Y Department of Electrical and Computer Engineering Οργάνωση και Σχεδίαση Η/Y (HY232) Εργαστήριο 8 Χειμερινό Εξάμηνο 2016-2017 1. Προσομοίωση λειτουργίας ιεραρχίας

Διαβάστε περισσότερα

Κεφάλαιο 5. ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ -. Σερπάνος 2. Σημείωση

Κεφάλαιο 5. ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ -. Σερπάνος 2. Σημείωση Κεφάλαιο 5 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ -. Σερπάνος 1 Σημείωση Οι παρούσες διαφάνειες παρέχονται ως συμπλήρωμα διδασκαλίας για το μάθημα «Αρχιτεκτονική Υπολογιστών» του Τμήματος Ηλεκτρολόγων Μηχανικών & Τεχνολογίας

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών II 16-2-2012. Ενδεικτικές απαντήσεις στα θέματα των εξετάσεων

Αρχιτεκτονική Υπολογιστών II 16-2-2012. Ενδεικτικές απαντήσεις στα θέματα των εξετάσεων Αρχιτεκτονική Υπολογιστών II 6 --0 Ενδεικτικές απαντήσεις στα θέματα των εξετάσεων Θέμα. Τι γνωρίζετε για την τοπικότητα των αναφορών και ποιών μονάδων του υπολογιστή ή τεχνικών η απόδοση εξαρτάται από

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Ασκήσεις Caches. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

Ασκήσεις Caches. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης Αρχιτεκτονική Υπολογιστών 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: 2014-2015 Νεκ. Κοζύρης nkoziris@cslab.ece.ntua.gr Ασκήσεις Caches http://www.cslab.ece.ntua.gr/courses/comparch/ Άδεια Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy)

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) 1 Συστήματα Μνήμης Η οργάνωση του συστήματος μνήμης επηρεάζει τη λειτουργία και απόδοση ενός μικροεπεξεργαστή: Διαχείριση μνήμης και περιφερειακών (Ι/Ο) απότολειτουργικόσύστημα

Διαβάστε περισσότερα

Η ιεραρχία της μνήμης

Η ιεραρχία της μνήμης Η ιεραρχία της μνήμης Οι περιορισμοί στο σχεδιασμό της μνήμης συνοψίζονται σε τρεις ερωτήσεις : 1) Πόση 2) Πόσο γρήγορη 3) Πόσο ακριβή Ερωτήματα-Απαντήσεις Ερώτημα πόση μνήμη. Είναι ανοικτό. Αν υπάρχει

Διαβάστε περισσότερα

Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC

Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC Πλεονεκτήματα MPSoC Είναι ευκολότερο να σχεδιαστούν πολλαπλοί πυρήνες επεξεργαστών από τον σχεδιασμό ενός ισχυρότερου και πολύ πιο σύνθετου μονού επεξεργαστή.

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ ΤΕΛΙΚΟ ΔΙΑΓΩΝΙΣΜΑ ΣΤΗΝ ΟΡΓΑΝΩΣΗ ΣΤΟΥΣ Η/Y (ΗΥ232) Τετάρτη, 21 Δεκεμβρίου 2016 ΔΙΑΡΚΕΙΑ ΔΙΑΓΩΝΙΣΜΑΤΟΣ 3 ΩΡΕΣ Για πλήρη

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua.gr ΠΡΟΗΓΜΕΝΑ ΘΕΜΑΤΑ

Διαβάστε περισσότερα

Συστήματα σε Ολοκληρωμένα Κυκλώματα

Συστήματα σε Ολοκληρωμένα Κυκλώματα Συστήματα σε Ολοκληρωμένα Κυκλώματα Κεφάλαιο 2: Τεχνικές για Σχεδιασμό Χαμηλής Κατανάλωσης Ισχύος στα MPSoCs Διδάσκων: Καθηγητής Οδυσσέας Κουφοπαύλου Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Αρχιτεκτονική Υπολογιστών Υποσύστημα μνήμης Διδάσκων: Επίκουρος Καθηγητής Αριστείδης Ευθυμίου Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 4 : Κρυφή Μνήμη Καρβούνης Ευάγγελος Δευτέρα, 30/11/2015 Χαρακτηριστικά Θέση Χωρητικότητα Μονάδα Μεταφοράς

Διαβάστε περισσότερα

Επιπλέον διδακτικό υλικό κρυφών μνημών: set-associative caches, πολιτικές αντικατάστασης, χειρισμός εγγραφών

Επιπλέον διδακτικό υλικό κρυφών μνημών: set-associative caches, πολιτικές αντικατάστασης, χειρισμός εγγραφών ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ Οργάνωση Υπολογιστών Επιπλέον διδακτικό υλικό κρυφών μνημών: set-associative caches, πολιτικές αντικατάστασης, χειρισμός εγγραφών Μανόλης Γ.Η. Κατεβαίνης Τμήμα Επιστήμης

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών

Οργάνωση Υπολογιστών Οργάνωση Υπολογιστών Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών 1 Άδειες Χρήσης Το παρόν υλικό

Διαβάστε περισσότερα

Μάθημα 7: Μικροϋπολογιστικό Σύστημα και Μνήμες

Μάθημα 7: Μικροϋπολογιστικό Σύστημα και Μνήμες Μάθημα 7: Μικροϋπολογιστικό Σύστημα και Μνήμες 7.1 Αρχιτεκτονική μνημών σε υπολογιστικό σύστημα Σε ένα υπολογιστικό σύστημα υπάρχουν συνήθως περισσότερες από μία μνήμες. Επειδή η χωρητικότητα ενός μόνο

Διαβάστε περισσότερα

Διαφορές single-processor αρχιτεκτονικών και SoCs

Διαφορές single-processor αρχιτεκτονικών και SoCs 13.1 Τα συστήματα και η επικοινωνία μεταξύ τους γίνονται όλο και περισσότερο πολύπλοκα. Δεν μπορούν να περιγραφούνε επαρκώς στο επίπεδο RTL καθώς αυτή η διαδικασία γίνεται πλέον αρκετά χρονοβόρα. Για αυτό

Διαβάστε περισσότερα

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ Γιώργος Δημητρίου Μάθημα 8 ο ΠΜΣ Εφαρμοσμένη Πληροφορική ΜΟΝΑΔΑ ΜΝΗΜΗΣ Επαρκής χωρητικότητα αποθήκευσης Αποδεκτό μέσο επίπεδο απόδοσης Χαμηλό μέσο κόστος ανά ψηφίο Ιεραρχία μνήμης

Διαβάστε περισσότερα

Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ταχύτητα εκτέλεσης Χρόνος εκτέλεσης = (αριθμός εντολών που εκτελούνται) Τί έχει σημασία: Χ (χρόνος εκτέλεσης εντολής) Αριθμός

Διαβάστε περισσότερα

ΕΘΝΙKΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Ονοματεπώνυμο: ΑΜ:

ΕΘΝΙKΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Ονοματεπώνυμο: ΑΜ: ΕΘΝΙKΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Ονοματεπώνυμο: ΑΜ: ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ (τμήμα Μ - Ω) Κανονική εξεταστική Φεβρουαρίου

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ Οργάνωση Υπολογιστών Εργαστήριο 11: Κρυφές Μνήμες και η Επίδοσή τους Μανόλης Γ.Η. Κατεβαίνης Τμήμα Επιστήμης Υπολογιστών Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό

Διαβάστε περισσότερα

Ιεραρχία Μνήμης. Εικονική μνήμη (virtual memory) Επεκτείνοντας την Ιεραρχία Μνήμης. Εικονική Μνήμη. Μ.Στεφανιδάκης

Ιεραρχία Μνήμης. Εικονική μνήμη (virtual memory) Επεκτείνοντας την Ιεραρχία Μνήμης. Εικονική Μνήμη. Μ.Στεφανιδάκης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής ρχιτεκτονική Υπολογιστών 2016-17 Εικονική Μνήμη (και ο ρόλος της στην ιεραρχία μνήμης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Δευτερεύουσα μνήμη

Διαβάστε περισσότερα

Υ- 01 Αρχιτεκτονική Υπολογιστών Front- end: Προσκόμιση, αποκωδικοποίηση, μετονομασία καταχωρητών

Υ- 01 Αρχιτεκτονική Υπολογιστών Front- end: Προσκόμιση, αποκωδικοποίηση, μετονομασία καταχωρητών Υ- 01 Αρχιτεκτονική Υπολογιστών Front- end: Προσκόμιση, αποκωδικοποίηση, μετονομασία καταχωρητών Αρης Ευθυμίου Το σημερινό μάθημα Προσκόμιση (fetch) πολλαπλές εντολές ανά κύκλο Μετονομασία καταχωρητών

Διαβάστε περισσότερα

Με τον όρο μνήμη αναφερόμαστε στα μέσα που χρησιμοποιούνται για την αποθήκευση προγραμμάτων και δεδομένων σε έναν υπολογιστή ή άλλη ψηφιακή

Με τον όρο μνήμη αναφερόμαστε στα μέσα που χρησιμοποιούνται για την αποθήκευση προγραμμάτων και δεδομένων σε έναν υπολογιστή ή άλλη ψηφιακή Μνήμη Με τον όρο μνήμη αναφερόμαστε στα μέσα που χρησιμοποιούνται για την αποθήκευση προγραμμάτων και δεδομένων σε έναν υπολογιστή ή άλλη ψηφιακή ηλεκτρονική συσκευή, σε προσωρινή ή μόνιμη βάση. Τα σύγχρονα

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 4 ο ΟΡΓΑΝΩΣΗ ΤΗΣ ΜΝΗΜΗΣ ΠΕΡΙΦΕΡΕΙΑΚΗ ΜΝΗΜΗ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 4 ο ΟΡΓΑΝΩΣΗ ΤΗΣ ΜΝΗΜΗΣ ΠΕΡΙΦΕΡΕΙΑΚΗ ΜΝΗΜΗ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 4 ο ΟΡΓΑΝΩΣΗ ΤΗΣ ΜΝΗΜΗΣ ΠΕΡΙΦΕΡΕΙΑΚΗ ΜΝΗΜΗ ΧΕΙΜΩΝΑΣ 2009 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Γενική οργάνωση του υπολογιστή Ο καταχωρητής δεδομένων της μνήμης (memory data register

Διαβάστε περισσότερα

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας Κεφάλαιο 3 ο Αρχιτεκτονική Υπολογιστών Μάθημα 3.: Κεντρική Μονάδα Επεξεργασίας Όταν ολοκληρώσεις το κεφάλαιο θα μπορείς: Να σχεδιάζεις την εσωτερική δομή της ΚΜΕ και να εξηγείς τη λειτουργία των επιμέρους

Διαβάστε περισσότερα

Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Κρυφές μνήμες

Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Κρυφές μνήμες Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Κρυφές μνήμες Αρης Ευθυμίου Το σημερινό μάθημα Κρυφές μνήμες (cache memory) Βασική οργάνωση, παράμετροι: γραμμές, συσχετιστικότητα, συνολική χωρητικότητα Επίδοση:

Διαβάστε περισσότερα

ΕΠΛ 605: ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ 2018 ΕΡΓΑΣΙΑ 3 (13/10/2018) Ηµεροµηνία Παράδοσης δεύτερου µέρους: 18/10/2018

ΕΠΛ 605: ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ 2018 ΕΡΓΑΣΙΑ 3 (13/10/2018) Ηµεροµηνία Παράδοσης δεύτερου µέρους: 18/10/2018 ΕΠΛ 605: ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ 2018 ΕΡΓΑΣΙΑ 3 (13/10/2018) Ηµεροµηνία Παράδοσης δεύτερου µέρους: 18/10/2018 Ηµεροµηνία Παράδοσης πρώτου µέρους: 25/10/2018 Θα πρέπει να παραδώσετε

Διαβάστε περισσότερα

ΠΛΕ- 027 Μικροεπεξεργαστές

ΠΛΕ- 027 Μικροεπεξεργαστές ΠΛΕ- 027 Μικροεπεξεργαστές 10ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Εικονική μνήμη, σχεδίαση αποδοτικής κρυφής μνήμης, προγραμματισμός για κρυφή μνήμη Αρης Ευθυμίου Εικονική μνήμη ως cache Η κύρια

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Οργάνωση Η/Υ Ενότητα 1η: Εισαγωγή στην Οργάνωση Η/Υ Άσκηση 1: Αναλύστε τη διαδοχική εκτέλεση των παρακάτω εντολών MIPS με βάση τις

Διαβάστε περισσότερα

Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση. Κεφάλαιο 5. Μεγάλη και γρήγορη: Αξιοποίηση της ιεραρχίας της µνήµης

Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση. Κεφάλαιο 5. Μεγάλη και γρήγορη: Αξιοποίηση της ιεραρχίας της µνήµης Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση Κεφάλαιο 5 Μεγάλη και γρήγορη: Αξιοποίηση της ιεραρχίας της µνήµης Ασκήσεις Η αρίθµηση των ασκήσεων είναι από την 4 η έκδοση

Διαβάστε περισσότερα

3 η ΑΣΚΗΣΗ ΑΡΧΙΤΕΚΤΟΝΙΚΗΣ ΥΠΟΛΟΓΙΣΤΩΝ

3 η ΑΣΚΗΣΗ ΑΡΧΙΤΕΚΤΟΝΙΚΗΣ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ntua.gr 3 η ΑΣΚΗΣΗ ΑΡΧΙΤΕΚΤΟΝΙΚΗΣ

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ Η Κεντρική Μονάδα Επεξεργασίας (Central Processing Unit -CPU) ή απλούστερα επεξεργαστής αποτελεί το μέρος του υλικού που εκτελεί τις εντολές ενός προγράμματος υπολογιστή

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 11: Κρυφή Μνήμη Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΕΦΑΛΑΙΟ 7ο ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Γενικό διάγραμμα υπολογιστικού συστήματος Γενικό διάγραμμα υπολογιστικού συστήματος - Κεντρική Μονάδα Επεξεργασίας ονομάζουμε

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 201 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

1. Οργάνωση της CPU 2. Εκτέλεση εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο επίπεδο των επεξεργαστών

1. Οργάνωση της CPU 2. Εκτέλεση εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο επίπεδο των επεξεργαστών ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΟΡΓΑΝΩΣΗ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Ι Γ. Τσιατούχας 2 ο Κεφάλαιο ιάρθρωση 1. Οργάνωση της 2. εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο

Διαβάστε περισσότερα

Εικονική Μνήμη (Virtual Μemory)

Εικονική Μνήμη (Virtual Μemory) ΗΥ 431 Αρχιτεκτονική Παραλλήλων Συστημάτων Διάλεξη 16 Εικονική Μνήμη (Virtual Μemory) Νίκος Μπέλλας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Απλό πείραμα int *data = malloc((1

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Εικονική Μνήμη. (και ο ρόλος της στην ιεραρχία μνήμης)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Εικονική Μνήμη. (και ο ρόλος της στην ιεραρχία μνήμης) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2011-12 Εικονική (και ο ρόλος της στην ιεραρχία μνήμης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης Ιεραρχία η νέα τάση: [2011]

Διαβάστε περισσότερα

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I MIPS Η MIPS (Microprocessor without Interlocked Pipeline Stages) είναι μία αρχιτεκτονική συνόλου εντολών (ISA) γλώσσας μηχανής που αναπτύχθηκε από την εταιρεία

Διαβάστε περισσότερα

Ενσωµατωµένα Υπολογιστικά Συστήµατα (Embedded Computer Systems)

Ενσωµατωµένα Υπολογιστικά Συστήµατα (Embedded Computer Systems) Ενσωµατωµένα Υπολογιστικά Συστήµατα (Embedded Computer Systems) Μαθηµα 2 ηµήτρης Λιούπης 1 Intel SA-1110 µc StrongARM core. System-on-Chip. Εξέλιξη των SA-110 και SA-1100. 2 ARM cores ARM: IP (intellectual

Διαβάστε περισσότερα

ΔΙΑΧΕΙΡΙΣΗ ΜΝΗΜΗΣ. Λειτουργικά Συστήματα Ι. Διδάσκων: Καθ. Κ. Λαμπρινουδάκης ΛΕΙΤΟΥΡΓΙΚΑ ΣΥΣΤΗΜΑΤΑ Ι

ΔΙΑΧΕΙΡΙΣΗ ΜΝΗΜΗΣ. Λειτουργικά Συστήματα Ι. Διδάσκων: Καθ. Κ. Λαμπρινουδάκης ΛΕΙΤΟΥΡΓΙΚΑ ΣΥΣΤΗΜΑΤΑ Ι ΛΕΙΤΟΥΡΓΙΚΑ ΣΥΣΤΗΜΑΤΑ Ι Μάθημα: Λειτουργικά Συστήματα Ι ΔΙΑΧΕΙΡΙΣΗ ΜΝΗΜΗΣ Διδάσκων: Καθ. Κ. Λαμπρινουδάκης clam@unipi.gr 1 ΕΙΣΑΓΩΓΗ Μνήμη : Πόρος ζωτικής σημασίας του οποίου η διαχείριση απαιτεί ιδιαίτερη

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 11-12 : Δομή και Λειτουργία της CPU Ευάγγελος Καρβούνης Παρασκευή, 22/01/2016 2 Οργάνωση της CPU Η CPU πρέπει:

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Αρχιτεκτονική Υπολογιστών Υποσύστημα μνήμης Διδάσκων: Επίκουρος Καθηγητής Αριστείδης Ευθυμίου Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες

Διαβάστε περισσότερα

Μάθημα 4: Κεντρική Μονάδα Επεξεργασίας

Μάθημα 4: Κεντρική Μονάδα Επεξεργασίας Μάθημα 4: Κεντρική Μονάδα Επεξεργασίας 4.1 Γενικά Ο υπολογιστής επεξεργάζεται δεδομένα ακολουθώντας βήμα βήμα, τις εντολές ενός προγράμματος. Το τμήμα του υπολογιστή, που εκτελεί τις εντολές και συντονίζει

Διαβάστε περισσότερα

Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών

Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ: ΗΛΕΚΤΡΟΝΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων

Διαβάστε περισσότερα

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ» Περιεχόμενα Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»... 2 Καταχωρητές... 3 Αριθμητική-λογική μονάδα... 3 Μονάδα μνήμης... 4 Μονάδα Εισόδου - Εξόδου... 5 Μονάδα ελέγχου... 5 Ρεπερτόριο Εντολών «ΑΒΑΚΑ»... 6 Φάση

Διαβάστε περισσότερα

Α. Δίνονται οι. (i) στη. πρέπει να. πιο. (ii) $a0. $s0 θα πρέπει να. αποθήκευση. αυξάνει τον. f: sub sll add sub jr. h: addi sw sw.

Α. Δίνονται οι. (i) στη. πρέπει να. πιο. (ii) $a0. $s0 θα πρέπει να. αποθήκευση. αυξάνει τον. f: sub sll add sub jr. h: addi sw sw. ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΡ ΙΟ ΥΠΟΛΟΟ ΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua. gr ΑΡΧΙΤΕΚΤΟΝΙΚΗ

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 5. Κύκλος Ζωής Εφαρμογών ΕΝΟΤΗΤΑ 2. Εφαρμογές Πληροφορικής. Διδακτικές ενότητες 5.1 Πρόβλημα και υπολογιστής 5.2 Ανάπτυξη εφαρμογών

ΚΕΦΑΛΑΙΟ 5. Κύκλος Ζωής Εφαρμογών ΕΝΟΤΗΤΑ 2. Εφαρμογές Πληροφορικής. Διδακτικές ενότητες 5.1 Πρόβλημα και υπολογιστής 5.2 Ανάπτυξη εφαρμογών 44 Διδακτικές ενότητες 5.1 Πρόβλημα και υπολογιστής 5.2 Ανάπτυξη εφαρμογών Διδακτικοί στόχοι Σκοπός του κεφαλαίου είναι οι μαθητές να κατανοήσουν τα βήματα που ακολουθούνται κατά την ανάπτυξη μιας εφαρμογής.

Διαβάστε περισσότερα

Ενότητα 2: Η κρυφή µνήµη και η λειτουργία της

Ενότητα 2: Η κρυφή µνήµη και η λειτουργία της Ενότητα 2: Η κρυφή µνήµη και η λειτουργία της Στην ενότητα αυτή θα αναφερθούµε εκτενέστερα στη λειτουργία και την οργάνωση της κρυφής µνήµης. Θα προσδιορίσουµε τις βασικές λειτουργίες που σχετίζονται µε

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 3 : Μια άποψη του κορυφαίου επιπέδου λειτουργίας και διασύνδεσης του υπολογιστή Καρβούνης Ευάγγελος Η έννοια

Διαβάστε περισσότερα

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο,

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, 2016-2017 ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΕΣ Μικροϋπολογιστής Υπολογιστής που χρησιμοποιείται για την είσοδο, επεξεργασία και έξοδο πληροφοριών. Είδη μικροϋπολογιστών:

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008 Τεχνολογία Ι Θεωρητικής Κατεύθυνσης Τεχνικών Σχολών Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ. ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ, 5 ο εξάµηνο

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ. ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ, 5 ο εξάµηνο ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ και ΥΠΟΛΟΓΙΣΤΩΝ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ, 5 ο εξάµηνο ΦΕΒΡΟΥΑΡΙΟΣ 2006 ΘΕΜΑΤΑ ΚΑΙ ΛΥΣΕΙΣ

Διαβάστε περισσότερα

Κεφάλαιο 4 ο. Ο Προσωπικός Υπολογιστής

Κεφάλαιο 4 ο. Ο Προσωπικός Υπολογιστής Κεφάλαιο 4 ο Ο Προσωπικός Υπολογιστής Μάθημα 4.3 Ο Επεξεργαστής - Εισαγωγή - Συχνότητα λειτουργίας - Εύρος διαδρόμου δεδομένων - Εύρος διαδρόμου διευθύνσεων - Εύρος καταχωρητών Όταν ολοκληρώσεις το μάθημα

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών (ΙI)

Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2016-17 Οργάνωση Υπολογιστών (ΙI) (κύρια και κρυφή μνήμη) http://mixstef.github.io/courses/csintro/ Μ.Στεφανιδάκης Ένα τυπικό

Διαβάστε περισσότερα

Ασκήσεις στα Προηγμένα Θέματα Αρχιτεκτονικής Υπολογιστών

Ασκήσεις στα Προηγμένα Θέματα Αρχιτεκτονικής Υπολογιστών Ασκήσεις στα Προηγμένα Θέματα Αρχιτεκτονικής Υπολογιστών ακ. έτος 2006-2007 Νεκτάριος Κοζύρης Νίκος Αναστόπουλος {nkoziris,anastop}@cslab.ece.ntua.gr Άσκηση 1: pipelining Εξετάζουμε την εκτέλεση του παρακάτω

Διαβάστε περισσότερα

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 10ο μάθημα: Ορια παραλληλίας επιπέδου εντολής και πολυνηματικοί επεξεργαστές Αρης Ευθυμίου Πηγές διαφανειών: συνοδευτικές διαφάνειες αγγλικης εκδοσης του βιβλιου Ορια

Διαβάστε περισσότερα

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Μάθημα 4.5 Η Μνήμη - Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Όταν ολοκληρώσεις το μάθημα αυτό θα μπορείς: Να αναφέρεις τα κυριότερα είδη μνήμης

Διαβάστε περισσότερα

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 6ο μάθημα: χρονοπρογραμματισμός, αλγόριθμος Tomasulo, εικασία Αρης Ευθυμίου Πηγές διαφανειών: συνοδευτικές διαφάνειες αγγλικης εκδοσης του βιβλιου InstrucDon- Level

Διαβάστε περισσότερα

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI)

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 015-16 Οργάνωση Υπολογιστών (ΙI) (κύρια και ) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Ένα τυπικό υπολογιστικό

Διαβάστε περισσότερα

Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών

Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών Η επεξεργασία των δεδομένων ακολουθεί μια στερεότυπη διαδρομή: τα δεδομένα εισάγονται στο υπολογιστικό σύστημα, υφίστανται μια ορισμένη επεξεργασία και

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη των Υπολογιστών

Εισαγωγή στην Επιστήμη των Υπολογιστών Εισαγωγή στην Επιστήμη των Υπολογιστών Ενότητα 3: Χειρισμός δεδομένων, 2ΔΩ Τμήμα: Αγροτικής Οικονομίας & Ανάπτυξης Διδάσκων: Θεόδωρος Τσιλιγκιρίδης Μαθησιακοί Στόχοι Η Ενότητα 3 διαπραγματεύεται θέματα

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Γιώργος Δημητρίου Ενότητα 1 η : Βασικές Αρχές Αρχιτεκτονικής Η/Υ Σύγχρονοι Μικροεπεξεργαστές Intel 6-core i7 (Gulftown) 2010, >1 billion transistors Απόδοση Μικροεπεξεργαστών V Μετρήσεις με μετροπρογράμματα

Διαβάστε περισσότερα

Λειτουργικά Συστήματα (Λ/Σ)

Λειτουργικά Συστήματα (Λ/Σ) Λειτουργικά Συστήματα (Λ/Σ) Διαχείριση Μνήμης Βασίλης Σακκάς 6/12/2013 1 Διαχείριση Μνήμης 1 Το τμήμα του Λ/Σ που διαχειρίζεται τη μνήμη λέγεται Διαχειριστής Μνήμης (Memory manager). Καθήκον του είναι

Διαβάστε περισσότερα

; Γιατί είναι ταχύτερη η λήψη και αποκωδικοποίηση των εντολών σταθερού μήκους;

; Γιατί είναι ταχύτερη η λήψη και αποκωδικοποίηση των εντολών σταθερού μήκους; Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Αρχιτεκτονικές Συνόλου Εντολών (ΙΙ) (Δομή Εντολών και Παραδείγματα) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης Αρχιτεκτονική

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ 1. Παρακάτω δίνονται μερικοί από τους ακροδέκτες που συναντάμε στην πλειοψηφία των μικροεπεξεργαστών. Φτιάξτε έναν πίνακα που να

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ. Α Γενικού Λυκείου (Μάθημα Επιλογής)

ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ. Α Γενικού Λυκείου (Μάθημα Επιλογής) ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ Α Γενικού Λυκείου (Μάθημα Επιλογής) Σύγχρονα Υπολογιστικά Συστήματα τους υπερυπολογιστές (supercomputers) που χρησιμοποιούν ερευνητικά εργαστήρια τα μεγάλα συστήματα (mainframes)

Διαβάστε περισσότερα

Θέµατα Φεβρουαρίου

Θέµατα Φεβρουαρίου Θέµατα Φεβρουαρίου 2-2 cslab@ntua 2- Θέµα ο (3%): Έστω η παρακάτω ακολουθία εντολών που χρησιµοποιείται για την αντιγραφ από µια θέση µνµης σε µια άλλη (memory-to-memory copy): lw $2, ($) sw $2, 2($) i)

Διαβάστε περισσότερα

Μνήμες RAM. Διάλεξη 12

Μνήμες RAM. Διάλεξη 12 Μνήμες RAM Διάλεξη 12 Δομή της διάλεξης Εισαγωγή Κύτταρα Στατικής Μνήμης Κύτταρα Δυναμικής Μνήμης Αισθητήριοι Ενισχυτές Αποκωδικοποιητές Διευθύνσεων Ασκήσεις 2 Μνήμες RAM Εισαγωγή 3 Μνήμες RAM RAM: μνήμη

Διαβάστε περισσότερα

Υ- 01 Αρχιτεκτονική Υπολογιστών Back- end: χρονοπρογραμματισμός, εντολές προσπέλασης μνήμης

Υ- 01 Αρχιτεκτονική Υπολογιστών Back- end: χρονοπρογραμματισμός, εντολές προσπέλασης μνήμης Υ- 01 Αρχιτεκτονική Υπολογιστών Back- end: χρονοπρογραμματισμός, εντολές προσπέλασης μνήμης Αρης Ευθυμίου Το σημερινό μάθημα Execu9on scheduling wake- up and select specula9ve wake- up Εκτέλεση εντολών

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 11: Κρυφή Μνήμη Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Πληροφορική Ι. Μάθημα 6 ο Εκτέλεση πράξεων, Αρχιτεκτονική Η/Υ. Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας

Πληροφορική Ι. Μάθημα 6 ο Εκτέλεση πράξεων, Αρχιτεκτονική Η/Υ. Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Πληροφορική Ι Μάθημα 6 ο Εκτέλεση πράξεων, Αρχιτεκτονική Η/Υ Δρ. Γκόγκος Χρήστος Εκτέλεση προγραμμάτων Πρόγραμμα: Ένα σύνολο από εντολές.

Διαβάστε περισσότερα

Μνήμη και Προγραμματίσιμη Λογική

Μνήμη και Προγραμματίσιμη Λογική Μνήμη και Προγραμματίσιμη Λογική Η μονάδα μνήμης είναι ένα στοιχείο κυκλώματος στο οποίο μεταφέρονται ψηφιακές πληροφορίες προς αποθήκευση και από το οποίο μπορούμε να εξάγουμε αποθηκευμένες πληροφορίες

Διαβάστε περισσότερα

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΒΑΣΙΚΑ ΣΤΟΙΧΕΙΑ ΗΜΙΑΓΩΓΙΚΩΝ ΜΝΗΜΩΝ. ΒΑΣΙΚΗ ΛΕΙΤΟΥΡΓΙΑ RAM CMOS. ΤΥΠΟΙ ΚΥΤΤΑΡΩΝ ΑΡΧΕΣ

Διαβάστε περισσότερα

Εισαγωγή στην Αρχιτεκτονική Η/Υ

Εισαγωγή στην Αρχιτεκτονική Η/Υ Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2017-18 Εισαγωγή στην Αρχιτεκτονική (θεμελιώδεις αρχές λειτουργίας των υπολογιστών) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης

Διαβάστε περισσότερα

Κεφάλαιο 1.6: Συσκευές αποθήκευσης

Κεφάλαιο 1.6: Συσκευές αποθήκευσης Κεφάλαιο 1.6: Συσκευές αποθήκευσης 1.6.1 Συσκευές αποθήκευσης Μνήμη τυχαίας προσπέλασης - RAM Η μνήμη RAM (Random Access Memory Μνήμη Τυχαίας Προσπέλασης), κρατεί όλη την πληροφορία (δεδομένα και εντολές)

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών) Τα μοντέρνα ψηφιακά κυκλώματα (λογικές πύλες, μνήμες, επεξεργαστές και άλλα σύνθετα κυκλώματα) υλοποιούνται σήμερα

Διαβάστε περισσότερα

Μάθημα 8: Επικοινωνία Συσκευών με τον Επεξεργαστή

Μάθημα 8: Επικοινωνία Συσκευών με τον Επεξεργαστή Μάθημα 8: Επικοινωνία Συσκευών με τον Επεξεργαστή 8.1 Τακτική σάρωση (Polling) Ας υποθέσουμε ότι έχουμε ένα πληκτρολόγιο συνδεδεμένο σε ένα υπολογιστικό σύστημα. Το πληκτρολόγιο είναι μια μονάδα εισόδου.

Διαβάστε περισσότερα

Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ 1. Τι εννοούμε με τον όρο υπολογιστικό σύστημα και τι με τον όρο μικροϋπολογιστικό σύστημα; Υπολογιστικό

Διαβάστε περισσότερα

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή 1. Ηλεκτρονικός Υπολογιστής Ο Ηλεκτρονικός Υπολογιστής είναι μια συσκευή, μεγάλη ή μικρή, που επεξεργάζεται δεδομένα και εκτελεί την εργασία του σύμφωνα με τα παρακάτω

Διαβάστε περισσότερα

Αρχιτεκτονική Μνήµης

Αρχιτεκτονική Μνήµης ΕΣ 08 Επεξεργαστές Ψηφιακών Σηµάτων Αρχιτεκτονική Μνήµης Τµήµα Επιστήµη και Τεχνολογίας Τηλεπικοινωνιών Πανεπιστήµιο Πελοποννήσου Βιβλιογραφία Ενότητας Kuo [2005]: Chapters 3 & 4 Lapsley [2002]: Chapter

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua.gr ΠΡΟΗΓΜΕΝΑ ΘΕΜΑΤΑ

Διαβάστε περισσότερα

Τμήμα Λογιστικής. Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. Μάθημα 8. 1 Στέργιος Παλαμάς

Τμήμα Λογιστικής. Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. Μάθημα 8. 1 Στέργιος Παλαμάς ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Τμήμα Λογιστικής Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές Μάθημα 8 Κεντρική Μονάδα Επεξεργασίας και Μνήμη 1 Αρχιτεκτονική του Ηλεκτρονικού Υπολογιστή Μονάδες Εισόδου Κεντρική

Διαβάστε περισσότερα

Κεντρική Μονάδα Επεξεργασίας. Επανάληψη: Απόδοση ΚΜΕ. ΚΜΕ ενός κύκλου (single-cycle) Παραλληλισμός σε επίπεδο εντολών. Υπολογιστικό σύστημα

Κεντρική Μονάδα Επεξεργασίας. Επανάληψη: Απόδοση ΚΜΕ. ΚΜΕ ενός κύκλου (single-cycle) Παραλληλισμός σε επίπεδο εντολών. Υπολογιστικό σύστημα Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Παραλληλισμός σε επίπεδο εντολών (Pipelining και άλλες τεχνικές αύξησης απόδοσης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης

Διαβάστε περισσότερα