ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ. Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ. Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο"

Transcript

1 ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο Νικόλασ Κυπαριςςάσ, 414 Τπεφκυνοι Κακθγθτζσ: Δρ. Μθνάσ Δαςυγζνθσ, Δρ. Κωςταντίνοσ ιϊηιοσ P O N G Υλοποίηση στην Xilinx Spartan 3A fpga

2 Θ εργαςία αυτι αποτελεί εργαςία εξαμινου για τα μακιματα Λειτουργικά υςτιματα και Ψθφιακι χεδίαςθ ΙΙ του 4 ου Εξαμινου. «Hello World» Θ εργαςία ξεκίνθςε ωσ ομαδικι, ςε ςυνεργαςία με τον ςυμφοιτθτι Παππά Αλζξανδρο (ΑΕΜ 443). Με τθν βοικεια των εργαλείων τθσ Xilinx υλοποιιςαμε ζναν μικροεπεξεργαςτι Microblaze και εμφανίςαμε ςτθν κονςόλα του SDK το μινυμα «Hello World», ζτςι ϊςτε να εξοικειωκοφμε με τα εργαλεία και τισ πλακζτεσ. Για περιςςότερεσ πλθροφορίεσ ανατρζξτε ςτθν αντίςτοιχθ αναφορά του φοιτθτι Παππά Αλζξανδρου (ΑΕΜ 443). PONG Ειςαγωγή Σο επόμενο βιμα του project αφοροφςε τθν υλοποίθςθ του hardware του arcade παιχνιδιοφ pacman ςτθν πλακζτα Spartan 3A τθσ Xilinx. Σο project αποτζλεςε μία πρόκλθςθ μιασ και το υλικό που είχε δοκεί ιταν δθμιουργθμζνο για να τρζχει ςτθν πλακζτα Spartan 3E τθσ Xilinx, θ οποία «φοράει» ζνα μεγαλφτερο fpga. τθν αρχι προςπακιςαμε να το υλοποιιςουμε ομαδικά, αλλά δεν τα καταφζραμε λόγω των δυςκολιϊν που κα περιγραφοφν παρακάτω. Σα χρονικά περικϊρια ςτζνευαν οπότε και χωριςτικαμε, οφτωσ ϊςτε να δουλεφουμε παράλλθλα τα δφο projects: Linux ςε fpga και υλοποίθςθ του hardware του pacman. Λόγω του ιδιαίτερου ενδιαφζροντοσ μου ωσ προσ τον μικροεπεξεργαςτι Η80 τθσ Zilog, ικελα με ιδιαίτερο ηιλο να ςυνεχίςω το project μζχρι να πετφχει.

3 Βήματα που ακολουθήθηκαν και δυςκολίεσ που αντιμετωπίςτηκαν Σο πρϊτο βιμα είναι να κατεβάςουμε από το διαδίκτυο τθν υλοποίθςθ του hardware για τθν πλακζτα Spartan 3E τθσ Xilinx. Θ υλοποίθςθ αυτι ζχει δθμιουργθκεί από τον MikeJ του διαδικτυακοφ τόπου και «φοράει» τον μικροεπεξεργαςτι Η80 που ζχει υλοποιιςει ςε VHDL o Daniel Wallner. τθν εργαςία χρθςιμοποιιςαμε τθν ζκδοςθ 0004 θ οποία είναι και θ τελευταία που ζχουν δθμοςιεφςει ςτθν ιςτοςελίδα αυτι μζχρι αυτι τθ ςτιγμι. Θ ζκδοςθ 0004 μπορεί να βρεκεί ςε αυτι τθν διεφκυνςθ: Ανοίγοντασ τον ςυμπιεςμζνο αυτόν φάκελο κα δείτε τα παρακάτω περιεχόμενα του καταλόγου: Να ςθμειϊςουμε ότι τα αρχεία s3astarter.ucf και pacman_xst ORIGINAL UCF.ucf και ο υποφάκελοσ build δεν βρίςκονται ςτον φάκελο. Σο s3astarter.ucf είναι το πρότυπο constraints file τθσ πλακζτασ Spartan 3A, και το pacman_xst ORIGINAL UCF.ucf είναι το default pacman_xst.ucf, το οποίο ιταν γραμμζνο για τθν πλακζτα Spartan 3E και το προςαρμόςαμε ςτθν πλακζτα Spartan 3A όπωσ κα περιγράψουμε παρακάτω. Ο φάκελοσ build κα δθμιουργθκεί ςτθν πορεία.

4 Σο πρϊτο πράγμα που πρζπει να προςζξουμε είναι οι δφο χαρακτθριςτικοί φάκελοι t80_latest και roms. Ο φάκελοσ t80_latest περιζχει τον μικροεπεξεργαςτι Σ80, αντίγραφο του Η80 υλοποιθμζνο ςε VHDL από τον Daniel Wallner. Ο φάκελοσ roms περιζχει τα δυαδικά αρχεία τα οποία περιζχουν το τι κα τρζξει ο επεξεργαςτισ. Σα αρχεία τα οποία υπάρχουν ςτον κατάλογο αυτόν εμπεριζχουν τον κϊδικα για τθν υλοποίθςθ του παιχνιδιοφ Pong. Μποροφμε ανα πάςα ςτιγμι να αλλάξουμε αυτά τα αρχεία με οποιαδιποτε.bin που περιζχουν κϊδικα κατάλλθλο για τον Η80 ζτςι ϊςτε ο επεξεργαςτισ να τα τρζξει, π.χ. κα μποροφςαμε εκεί να ζχουμε τα αρχεία rom για το παιχνίδι pacman. Πίςω ςτον γενικό φάκελο, βλζπουμε δφο εκτελζςιμα: το build_roms.bat και το build_xst.bat.

5 Αν τρζξουμε το build_roms.bat, το εκτελζςιμο κα δθμιουργιςει με τθν βοικεια των αρχείων.bin του καταλόγου roms τα VHDL αρχεία που περιζχουν τον κϊδικα που κα τρζξει ο μικροεπεξεργαςτισ: Σο επόμενο βιμα είναι να τρζξουμε το εκτελζςιμο build_xst.bat, αλλά πρϊτα πρζπει να προςαρμόςουμε το pacman_xst.ucf το οποίο είναι γραμμζνο για τθν πλακζτα Spartan 3E, ζτςι ϊςτε να αντιςτοιχίςει τισ ειςόδουσ και εξόδουσ του κϊδικα VHDL με τα αντίςτοιχα pins τθσ Spartan 3A. Οι αλλαγζσ ςτο αρχείο pacman_xst.ucf γίνονται αντικακιςτϊντασ τα pins του fpga Spartan 3E με τα αντίςτοιχα pins του πρότυπου ucf s3astarter.ucf τα οποία ζχουν ίδιο όνομα, δθλαδι τα αντίςτοιχα pins του fpga Spartan 3A.

6 Για παράδειγμα: Σο pin που ρυκμίηει τον οριηόντιο ςυγχρονιςμό του ελεγκτι VGA ςτο αρχικό ucf pacman_xst.ucf που βριςκόταν ςτον κατάλογο ιταν ωσ εξισ ρυκμιςμζνο για να λειτουργιςει ςτθν Spartan 3E: NET O_HSYNC LOC = "F15" IOSTANDARD = LVTTL DRIVE = 8 SLEW = FAST ; Όπωσ βλζπουμε, θ περιγραφι του pin αποτελείται από δφο μζρθ: το όνομά του (πράςινο), το ςε ποιο pin αντιςτοιχεί ςτθν πλακζτα, και τισ ρυκμίςεισ λειτουργίασ του(κόκκινο). Ψάχνοντασ το αντίςτοιχο pin ςτο πρότυπο ucf s3astarter.ucf τθσ πλακζτασ Spartan 3A, πρζπει να ζχουμε ςτο νου μασ ότι το όνομα του pin δεν είναι απαραίτθτα το ίδιο. Θ γραμμι που αντιςτοιχεί ςτο pin αυτό είναι ωσ εξισ: NET "VGA_HSYNC" LOC = "C11" IOSTANDARD = LVCMOS33 DRIVE = 8 SLEW = FAST ; Ζτςι, θ αντίςτοιχθ γραμμι του αρχείου pacman_xst.ucf πρζπει να διαμορφωκεί ωσ εξισ: NET O_HSYNC LOC = "C11" IOSTANDARD = LVCMOS33 DRIVE = 8 SLEW = FAST ; Να ςθμειωκεί ότι θ αντιςτοίχιςθ που χρθςιμοποίθςα για τουσ διαφλουσ των διευκφνςεων και των δεδομζνων του μικροεπεξεργαςτι δεν είναι θ μοναδικι ςωςτι. Σα pins μποροφν να αντιςτοιχιςτοφν με οποιοδιποτε ςυνδυαςμό ςτθν μνιμθ, αρκεί να μθν ςυμπίπτουν δφο pins του αρχείου ςτο ίδιο pin τθσ πλακζτασ. Σζλοσ, θ αλλαγι που πρζπει να γίνει οπωςδιποτε ςτο αρχείο pacman_xst.ucf είναι ςτθν πρϊτθ γραμμι: πρζπει να κζςουμε το ςωςτό μοντζλο του fpga. Για να το πετφχουμε αυτό, αντικακιςτοφμε τθν γραμμι CONFIG PART = XC3S500E-FG320-4 ; με τθν γραμμι CONFIG PART = XC3S700A-FG484-4 ;.

7 Σϊρα είμαςτε ζτοιμοι να εκτελζςουμε το build_xst.bat. Μετά τθν επιτυχι εκτζλεςθ του προγράμματοσ αυτοφ, δθμιουργείται ο φάκελοσ build ο οποίοσ περιζχει όλα τα αρχεία που χρειαηόμαςτε για να δθμιουργιςουμε το bitstream με τα εργαλεία τθσ Xilinx: Σα αρχεία VHDL για τθν υλοποίθςθ του μικροεπεξεργαςτι Η80. Σα αρχεία VHDL για το τι κα τρζξει ο μικροεπεξεργαςτισ (roms). Σο ucf που κα αντιςτοιχίςει τισ ειςόδουσ/εξόδουσ του επεξεργαςτι με τα pins τθσ πλακζτασ. Με τθν γνωςτι διαδικαςία, δθμιουργοφμε με το εργαλείο XST το bitstream και το «φορτϊνουμε» ςτθν πλακζτα μζςω του εργαλείου Impact, αφοφ ζχουμε ςυνδζςει ςτθν κατάλλθλθ ζξοδο τθσ πλακζτασ μία οκόνθ. Οδηγίεσ Χειριςμόσ

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1 1. Εγκατάςταςη Xampp Προκειμζνου να γίνει θ εγκατάςταςθ κα πρζπει πρϊτα να κατεβάςετε και εγκαταςτιςετε το XAMPP ωσ ακολοφκωσ. 1.1. Πάμε ςτθν ακόλουκθ διεφκυνςθ https://www.apachefriends.org/download.html

Διαβάστε περισσότερα

Διαχείριςθ του φακζλου "public_html" ςτο ΠΣΔ

Διαχείριςθ του φακζλου public_html ςτο ΠΣΔ Διαχείριςθ του φακζλου "public_html" ςτο ΠΣΔ Οι παρακάτω οδθγίεσ αφοροφν το χριςτθ webdipe. Για διαφορετικό λογαριαςμό χρθςιμοποιιςτε κάκε φορά το αντίςτοιχο όνομα χριςτθ. = πατάμε αριςτερό κλικ ςτο Επιςκεφκείτε

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Αυτζσ οι οδθγίεσ ζχουν ςτόχο λοιπόν να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο του Άβακα. Παρουςίαςη

Διαβάστε περισσότερα

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν Τι είναι θ Γραμμι Εντολϊν (1/6) Στουσ πρϊτουσ υπολογιςτζσ, και κυρίωσ από τθ δεκαετία του 60 και μετά, θ αλλθλεπίδραςθ του χριςτθ με τουσ

Διαβάστε περισσότερα

Πωσ δθμιουργώ φακζλουσ;

Πωσ δθμιουργώ φακζλουσ; Πωσ δθμιουργώ φακζλουσ; Για να μπορζςετε να δθμιουργιςετε φακζλουσ ςτο χαρτοφυλάκιό ςασ ςτο Mahara κα πρζπει να μπείτε ςτο ςφςτθμα αφοφ πατιςετε πάνω ςτο ςφνδεςμο Mahara profiles από οποιοδιποτε ςελίδα

Διαβάστε περισσότερα

Οδηγίες αναβάθμισης χαρτών

Οδηγίες αναβάθμισης χαρτών Οδηγίες αναβάθμισης χαρτών Για να κάνετε τθν αναβάκμιςθ χαρτϊν Ελλάδοσ κα πρζπει να εγγραφείτε ωσ νζο μζλοσ ςτθν ιςτοςελίδα http://www.mls.gr. 1) Εγγραφή νέου μέλουσ ςτην ιςτοςελίδα αναβαθμίςεων Α) Αντιγράψτε

Διαβάστε περισσότερα

Πωσ δημιουργώ μάθημα ςτο e-class του ΠΣΔ [επίπεδο 1]

Πωσ δημιουργώ μάθημα ςτο e-class του ΠΣΔ [επίπεδο 1] Το e-class του Πανελλινιου Σχολικοφ Δίκτυου [ΠΣΔ/sch.gr] είναι μια πολφ αξιόλογθ και δοκιμαςμζνθ πλατφόρμα για αςφγχρονο e-learning. Ανικει ςτθν κατθγορία του ελεφκερου λογιςμικοφ. Αρχίηουμε από τθ διεφκυνςθ

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι Λογιςμικό (Software), Πρόγραμμα (Programme ι Program), Προγραμματιςτισ (Programmer), Λειτουργικό Σφςτθμα (Operating

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ελιδοποίθςθ (1/10) Σόςο θ κατάτμθςθ διαμεριςμάτων ςτακεροφ μεγζκουσ όςο και θ κατάτμθςθ διαμεριςμάτων μεταβλθτοφ και άνιςου μεγζκουσ δεν κάνουν

Διαβάστε περισσότερα

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format.

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format. Virtualization Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format. Το virtualization πρόκειται για μια τεχνολογία, θ οποία επιτρζπει το διαχωριςμό

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ Ω ΕΝΙΑΙΟ ΤΣΗΜΑ. ΚΕΦΑΛΑΙΟ 2: Σο Εςωτερικό του Τπολογιςτι

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ Ω ΕΝΙΑΙΟ ΤΣΗΜΑ. ΚΕΦΑΛΑΙΟ 2: Σο Εςωτερικό του Τπολογιςτι ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ ΚΕΦΑΛΑΙΟ 2: Σο Εςωτερικό του Τπολογιςτι 2.1 Ο Προςωπικόσ Υπολογιςτήσ εςωτερικά Σροφοδοτικό, Μθτρικι πλακζτα (Motherboard), Κεντρικι Μονάδα Επεξεργαςίασ (CPU), Κφρια Μνιμθ

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν Τπόβακρο (1/3) τουσ παλαιότερουσ υπολογιςτζσ θ Κεντρικι Μονάδα Επεξεργαςίασ (Κ.Μ.Ε.) μποροφςε κάκε ςτιγμι να εκτελεί μόνο ζνα πρόγραμμα τουσ ςφγχρονουσ

Διαβάστε περισσότερα

SingularLogic Galaxy. Οδηγίες εγκαηάζηαζης Galaxy

SingularLogic Galaxy. Οδηγίες εγκαηάζηαζης Galaxy Οδηγίες εγκαηάζηαζης Galaxy 1 Προαπαιτούμενα Windows Installer 3.1.Net 4 Full Τα προαπαιτοφμενα αυτά δεν ςυμπεριλαμβάνονται ςτο Galaxy.exe Εγκατάςταςη Galaxy Για να εγκαταςτακεί θ εφαρμογι πρζπει να εκτελεςτεί

Διαβάστε περισσότερα

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων»

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Το Πλθροφοριακό Σφςτθμα τθσ δράςθσ «e-κπαιδευτείτε» ζχει ςτόχο να αυτοματοποιιςει τισ ακόλουκεσ

Διαβάστε περισσότερα

ΑΤΣΟΝΟΜΟΙ ΠΡΑΚΣΟΡΕ ΕΡΓΑΙΑ ΕΞΑΜΗΝΟΤ HEARTSTONE ΑΛΕΞΑΝΔΡΟ ΛΟΤΚΟΠΟΤΛΟ ΑΜ:

ΑΤΣΟΝΟΜΟΙ ΠΡΑΚΣΟΡΕ ΕΡΓΑΙΑ ΕΞΑΜΗΝΟΤ HEARTSTONE ΑΛΕΞΑΝΔΡΟ ΛΟΤΚΟΠΟΤΛΟ ΑΜ: ΑΤΣΟΝΟΜΟΙ ΠΡΑΚΣΟΡΕ ΕΡΓΑΙΑ ΕΞΑΜΗΝΟΤ HEARTSTONE ΑΛΕΞΑΝΔΡΟ ΛΟΤΚΟΠΟΤΛΟ ΑΜ: 2008030075 ΕΙΑΓΩΓΗ Το Heartstone είναι ζνα ψθφιακό παιχνίδι καρτϊν που διεξάγιεται πάνω ςτο Battle.net, ζναν διακομιςτι τθσ εταιρίασ

Διαβάστε περισσότερα

ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ

ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ υνοπτικόσ Οδθγόσ για Γράψιμο Εκτζλεςθ Προγραμμάτων Java ςε Περιβάλλον DOS και NetBeans

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 3: Εργονομία

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 3: Εργονομία ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ Εργονομία, ωςτι ςτάςθ εργαςίασ, Εικονοςτοιχείο (pixel), Ανάλυςθ οκόνθσ (resolution), Μζγεκοσ οκόνθσ Ποιεσ επιπτϊςεισ μπορεί να ζχει θ πολφωρθ χριςθ του υπολογιςτι ςτθν

Διαβάστε περισσότερα

Το καλωςόριςμα των μαθητών ςτο Εργαςτήριο Φυςικών Επιςτημών

Το καλωςόριςμα των μαθητών ςτο Εργαςτήριο Φυςικών Επιςτημών Το καλωςόριςμα των μαθητών ςτο Εργαςτήριο Φυςικών Επιςτημών Η ΟΡΙΖΟΝΣΙΑ ΒΟΛΗ ΜΕΑ ΑΠΟ ΣΙ ΝΕΕ ΣΕΧΝΟΛΟΓΙΕ Εισαγωγή Ο καταλλθλότεροσ χϊροσ για ζνα επιτυχθμζνο μάκθμα φυςικισ είναι το εργαςτιριο φυςικϊν επιςτθμϊν.

Διαβάστε περισσότερα

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του Αυτόνομοι Πράκτορες Αναφορά Εργασίας Εξαμήνου Το αστέρι του Aibo και τα κόκαλα του Jaohar Osman Η πρόταςθ εργαςίασ που ζκανα είναι το παρακάτω κείμενο : - ξ Aibo αγαπάει πάρα πξλύ ρα κόκαλα και πάμρα ρα

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ. Ειρινθ Φιλιοποφλου

ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ. Ειρινθ Φιλιοποφλου ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ Ειρινθ Φιλιοποφλου Ειςαγωγι Ο Παγκόςμιοσ Ιςτόσ (World Wide Web - WWW) ι πιο απλά Ιςτόσ (Web) είναι μία αρχιτεκτονικι για τθν προςπζλαςθ διαςυνδεδεμζνων εγγράφων

Διαβάστε περισσότερα

Η διαδικαςία επιλογήσ μαθημάτων

Η διαδικαςία επιλογήσ μαθημάτων Η διαδικαςία επιλογήσ μαθημάτων 1. Ηθτιςτε από τθν Κοςμθτεία τθσ χολισ Οικονομικϊν Επιςτθμϊν και Διοίκθςθσ (Κτιριο ΟΕΔ02, 0 όροφοσ, γραφείο 027Α) τθν λίςτα με τα μακιματα αντιςτοιχίασ που ιδθ υπάρχουν

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Αρχεία - Φάκελοι

ΕΝΟΤΗΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Αρχεία - Φάκελοι ΕΝΟΤΗΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΗ Αρχείο (File) Φάκελοσ (Folder) Διαχειριςτισ Αρχείων (File Manager) Τφποι Αρχείων Σε τι εξυπθρετεί θ οργάνωςθ των εργαςιϊν μασ ςτουσ υπολογιςτζσ; Πϊσ κα οργανϊςουμε

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Δίκτυα Επικοινωνιών ΙΙ Διδάςκων: Απόςτολοσ Γκάμασ (Διδάςκων ΠΔ 407/80) Βοθκόσ Εργαςτθρίου: Δθμιτριοσ Μακρισ Ενδεικτική Λύση 3

Διαβάστε περισσότερα

Modellus 4.01 Συ ντομοσ Οδηγο σ

Modellus 4.01 Συ ντομοσ Οδηγο σ Νίκοσ Αναςταςάκθσ 4.01 Συ ντομοσ Οδηγο σ Περιγραφή Σο είναι λογιςμικό προςομοιϊςεων που ςτθρίηει τθν λειτουργία του ςε μακθματικά μοντζλα. ε αντίκεςθ με άλλα λογιςμικά (π.χ. Interactive Physics, Crocodile

Διαβάστε περισσότερα

Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430

Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430 Πανεπιςτιμιο Θεςςαλίασ, Τμιμα Ηλεκτρολόγων Μθχανικϊν και Μθχανικϊν Υπολογιςτϊν HY430 Εργαςτιριο Ψθφιακϊν Κυκλωμάτων Χειμερινό Εξάμθνο 2013-2014 Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx ISE Design Suite

Διαβάστε περισσότερα

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7)

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7) Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων (v.1.0.7) 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δθμιουργικθκε για να βοθκιςει τθν κατανόθςθ τθσ διαδικαςίασ διαχείριςθσ ςτθλών βιβλίου Εςόδων - Εξόδων.

Διαβάστε περισσότερα

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1 Πολυπλέκτες Ο πολυπλζκτθσ (multipleer - ) είναι ζνα ςυνδυαςτικό κφκλωμα που επιλζγει δυαδικι πλθροφορία μιασ από πολλζσ γραμμζσ ειςόδου και τθν κατευκφνει ςε μια και μοναδικι γραμμι εξόδου. Η επιλογι μιασ

Διαβάστε περισσότερα

Μάθημα 9 ο ΤΕΧΝΙΚΕΣ ΔΙΑΧΕΙΡΙΣΗΣ ΕΙΚΟΝΙΚΗΣ ΜΝΗΜΗΣ

Μάθημα 9 ο ΤΕΧΝΙΚΕΣ ΔΙΑΧΕΙΡΙΣΗΣ ΕΙΚΟΝΙΚΗΣ ΜΝΗΜΗΣ Μάθημα 9 ο ΤΕΧΝΙΚΕΣ ΔΙΑΧΕΙΡΙΣΗΣ ΕΙΚΟΝΙΚΗΣ ΜΝΗΜΗΣ Ειςαγωγό Όπωσ είδαμε, ο χϊροσ εικονικϊν διευκφνςεων μνιμθσ που χρθςιμοποιεί κάκε διεργαςία, είναι αρκετά μεγαλφτεροσ από το χϊρο των φυςικϊν διευκφνςεων.

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Δίκτυα Επικοινωνιϊν ΙΙ Διδάςκων: Απόςτολοσ Γκάμασ (Διδάςκων ΠΔ 407/80) Βοθκόσ Εργαςτθρίου: Δθμιτριοσ Μακρισ Ενδεικτική Λύση 2

Διαβάστε περισσότερα

Κάνουμε κλικ ςτθν επιλογι του οριηόντιου μενοφ «Get Skype»για να κατεβάςουμε ςτον υπολογιςτι μασ το πρόγραμμα του Skype.

Κάνουμε κλικ ςτθν επιλογι του οριηόντιου μενοφ «Get Skype»για να κατεβάςουμε ςτον υπολογιςτι μασ το πρόγραμμα του Skype. ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΛΟΓΑΡΙΑΜΟΤ ΣΟ SKYPE Ανοίγουμε το πρόγραμμα περιιγθςθσ ιςτοςελίδων (εδϊ Internet Explorer). Κάνουμε κλικ ςτθ γραμμι διεφκυνςθσ του προγράμματοσ και πλθκτρολογοφμε: www.skype.com Κάνουμε

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Πληροφορική και Εκπαίδευση

Πληροφορική και Εκπαίδευση ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Πληροφορική και Εκπαίδευση Πληροφορική, ΤΠΕ, Μαθησιακές τεχνολογίες, Εκπαιδευτική τεχνολογία Διδάσκων: Καθηγητής Αναστάσιος Α. Μικρόπουλος Άδειες Χρήσης

Διαβάστε περισσότερα

ςυςτιματα γραμμικϊν εξιςϊςεων

ςυςτιματα γραμμικϊν εξιςϊςεων κεφάλαιο 7 Α ςυςτιματα γραμμικϊν εξιςϊςεων αςικζσ ζννοιεσ Γραμμικά, λζγονται τα ςυςτιματα εξιςϊςεων ςτα οποία οι άγνωςτοι εμφανίηονται ςτθν πρϊτθ δφναμθ. Σα γραμμικά ςυςτιματα με δφο εξιςϊςεισ και δφο

Διαβάστε περισσότερα

Εφδοξοσ+ Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)».

Εφδοξοσ+ Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)». Εφδοξοσ+ Διαθζτοντασ βιβλία μζςω του «Εφδοξοσ+» Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)». Εμφανίηεται θ λίςτα με όλα ςασ τα βιβλία. Από εδϊ μπορείτε: -

Διαβάστε περισσότερα

SingularLogic Application. Παραμετροποίηση Galaxy Application Server

SingularLogic Application. Παραμετροποίηση Galaxy Application Server Παραμετροποίηση Galaxy Application Server 1 Παραμετροποίηςη Galaxy Application Server Για τθν γριγορθ παραμετροποίθςθ του application server του Galaxy υπάρχει το Glx.Config.exe. Άλλο ςθμείο όπου μπορείτε

Διαβάστε περισσότερα

ΦΤΛΛΟ ΕΡΓΑΙΑ ΤΠΗΡΕΙΑ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΥΤΔΡΟΜΕΙΟΤ

ΦΤΛΛΟ ΕΡΓΑΙΑ ΤΠΗΡΕΙΑ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΥΤΔΡΟΜΕΙΟΤ ΦΤΛΛΟ ΕΡΓΑΙΑ ΤΠΗΡΕΙΑ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΥΤΔΡΟΜΕΙΟΤ E-MAIL Ε ΣΟΠΙΚΟ ΔΙΚΣΤΟ LAN ( ΠΡΟΟΜΕΙΩΗ ΜΕ ΣΟ ΛΟΓΙΜΙΚΟ FILIUS ) ΔΗΜΙΟΤΡΓΟ: ΑΡΑΜΠΑΣΖΗ ΠΑΝΑΓΙΩΣΗ ΒΗΜΑ 1. Ανοίξτε τθ εφαρμογι προςομοίωςθσ δικτφων Filius ςτον

Διαβάστε περισσότερα

Είςοδοσ/Εγγραφή ςτη διαχειριςτική ςελίδα του Σχολείου

Είςοδοσ/Εγγραφή ςτη διαχειριςτική ςελίδα του Σχολείου Είςοδοσ/Εγγραφή ςτη διαχειριςτική ςελίδα του Σχολείου Ανοίγουμε τον Browser μασ και πλθκτρολογοφμε τθν ςελίδα http://bontime.gr/ Από τθν αρχικι ςελίδα και κάνοντασ scroll down βλζπουμε τισ επιλογζσ εγγραφισ

Διαβάστε περισσότερα

ΕΡΓΑΣΗΡΙΟ ΕΦΑΡΜΟΜΕΝΗ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΡΓΑΣΗΡΙΟ ΕΦΑΡΜΟΜΕΝΗ ΠΛΗΡΟΦΟΡΙΚΗ Στο εργαςτιριο αυτό κα δοφμε πωσ μποροφμε να προςομοιϊςουμε μια κίνθςθ χωρίσ τθ χριςθ εξειδικευμζνων εργαλείων, παρά μόνο μζςω ενόσ προγράμματοσ λογιςτικϊν φφλλων, όπωσ είναι το Calc και το Excel. Τα δφο

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Ιοφνιοσ 2013 Περιεχόμενα: Ειςαγωγή... 3 1.Εθνικό Τυπογραφείο... 3 1.1. Είςοδοσ... 3 1.2. Αρχική Οθόνη... 4 1.3. Διεκπεραίωςη αίτηςησ...

Διαβάστε περισσότερα

App Inventor Project (Περιγραυή βημάτων κατασκεσής ευαρμογής κευ. 7)

App Inventor Project (Περιγραυή βημάτων κατασκεσής ευαρμογής κευ. 7) App Inventor Project (Περιγραυή βημάτων κατασκεσής ευαρμογής κευ. 7) 1. Αν δεν ζχουμε ιδθ, φτιάχνουμε ζναν λογαριαςμό ςτθν Google και ςυνδεόμαςτε με αυτόν 2. Επιςκεπτόμαςτε τον επίςθμο ιςτότοπο του App

Διαβάστε περισσότερα

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox 03 05 ΙΛΤΔΑ ΠΛΗΡΟΦΟΡΙΚΗ Α.Ε. αρμά Ιηαμπζλλα Βαρλάμθσ Νίκοσ Ειςαγωγι... 1 Σι είναι το Databox...... 1 Πότε ανανεϊνεται...... 1 Μπορεί να εφαρμοςτεί

Διαβάστε περισσότερα

Περιοριςμοί μιασ Β.Δ. ςτθν Access(1/3)

Περιοριςμοί μιασ Β.Δ. ςτθν Access(1/3) Περιοριςμοί μιασ Β.Δ. ςτθν Access(1/3) Το όνομα ενόσ πίνακα, όπωσ και κάκε άλλου αντικειμζνου, μπορεί να ζχει μζγεκοσ ζωσ 64 χαρακτιρεσ. Το όνομα ενόσ πεδίου μπορεί να ζχει μζγεκοσ ζωσ 64 χαρακτιρεσ. Κάκε

Διαβάστε περισσότερα

Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ

Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ διαδικαςίασ αυτόματησ δημιουργίασ ςτηλών και αντιςτοίχιςησ

Διαβάστε περισσότερα

Εισαγωγικές έννοιες. Αντώνησ Κ Μαώργιώτησ

Εισαγωγικές έννοιες. Αντώνησ Κ Μαώργιώτησ Εισαγωγικές έννοιες Αντώνησ Κ Μαώργιώτησ Έννοιεσ που πρϋπει να επιβεβαιώςουμε ότι τισ ξϋρουμε (1) - αναζότηςη Ιςτοςελίδα Αρχείο που περιζχει πλθροφορίεσ προοριςμζνεσ για δθμοςίευςθ ςτο Παγκόςμιο Ιςτό (www).

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Πάτρα, 2013 Περιεχόμενα: Ειςαγωγή... 4 1. Επιμελητήριο... Error! Bookmark not defined. 1.1 Διαχειριςτήσ Αιτήςεων Επιμελητηρίου...

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Σμιμα

Διαβάστε περισσότερα

ΕΝΟΤΘΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΘ. ΚΕΦΑΛΑΙΟ 6: Θ «Βοικεια» ςτον Υπολογιςτι

ΕΝΟΤΘΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΘ. ΚΕΦΑΛΑΙΟ 6: Θ «Βοικεια» ςτον Υπολογιςτι ΕΝΟΤΘΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΘ ΚΕΦΑΛΑΙΟ 6: Θ «Βοικεια» ςτον Υπολογιςτι Βοικεια (Help), Ευρετιριο, Κόμβοσ, Λζξθ κλειδί, Σφνδεςμόσ, Υπερκείμενο Τι είναι θ «Βοικεια» ςτουσ υπολογιςτζσ; Πώσ ενεργοποιοφμε

Διαβάστε περισσότερα

Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο

Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο Τι πρζπει να γνωρίηω πριν ξεκινιςω τθν διαδικαςία 1. Να ζχω κωδικοφσ από τον Κζντρο Δικτφου του ΤΕΙ Ακινασ (είναι αυτοί με τουσ οποίουσ ζχω πρόςβαςθ ςτο αςφρματο

Διαβάστε περισσότερα

Οδθγίεσ εγκατάςταςθσ και ρυκμίςεισ του ηυγοφ DIGI SM100

Οδθγίεσ εγκατάςταςθσ και ρυκμίςεισ του ηυγοφ DIGI SM100 Οδθγίεσ εγκατάςταςθσ και ρυκμίςεισ του ηυγοφ DIGI SM100 ΠΕΡΙΕΧΟΜΕΝΑ Γενικά Είςοδοσ ςτο πρόγραμμα Ρυιμίςεισ ζυγοφ Αλλαγι IP διεφκυνςθσ ηυγοφ Ρυκμίςεισ επικοινωνίασ Αποκικευςθ Ρυιμίςεισ εφαρμογθσ DIGICOM

Διαβάστε περισσότερα

Εφαρμογέσ Μικροχπολογιςτών ςτισ Τηλεπικοινωνίεσ. Έλεγχοσ ςειριακήσ θφρασ του 8051 (Serial Port)

Εφαρμογέσ Μικροχπολογιςτών ςτισ Τηλεπικοινωνίεσ. Έλεγχοσ ςειριακήσ θφρασ του 8051 (Serial Port) Εφαρμογέσ Μικροχπολογιςτών ςτισ Τηλεπικοινωνίεσ Έλεγχοσ ςειριακήσ θφρασ του 8051 (Serial Port) 8051 Serial Ports Port Bit Name Alternate Function P3.0 RxD Receive data for serial port P3.1 TxD Transmit

Διαβάστε περισσότερα

Η πολικι ευκυγράμμιςθ με διολίςκθςθ με τθν χριςθ του software PHD2 ςασ εξαςφαλίηει μια γριγορθ και ακριβισ πολικι ευκυγράμμιςθ τθσ ςτιριξισ ςασ.

Η πολικι ευκυγράμμιςθ με διολίςκθςθ με τθν χριςθ του software PHD2 ςασ εξαςφαλίηει μια γριγορθ και ακριβισ πολικι ευκυγράμμιςθ τθσ ςτιριξισ ςασ. Drift Alighment με το PHD2 (Μετϊφραςη από το πρωτότυπο από τον Θοδωρή Καψή) Η πολικι ευκυγράμμιςθ με διολίςκθςθ με τθν χριςθ του software PHD2 ςασ εξαςφαλίηει μια γριγορθ και ακριβισ πολικι ευκυγράμμιςθ

Διαβάστε περισσότερα

ΚΥΠΡΙΑΚΗ ΜΑΘΗΜΑΤΙΚΗ ΕΤΑΙΡΕΙΑ

ΚΥΠΡΙΑΚΗ ΜΑΘΗΜΑΤΙΚΗ ΕΤΑΙΡΕΙΑ ΚΥΠΡΙΑΚΗ ΜΑΘΗΜΑΤΙΚΗ ΕΤΑΙΡΕΙΑ Α ΔΙΑΓΩΝΙΣΜΟΣ ΕΠΙΛΟΓΗΣ IMC (Key Stage II) 9 Μαρτίου 2016 ΧΡΟΝΟΣ: 2 ΩΡΕΣ Λύςεισ : Πρόβλημα 1 (α) Να βρείτε τθν τιμι του για να ιςχφει θ πιο κάτω ςχζςθ: (β) Ο Ανδρζασ τελειϊνει

Διαβάστε περισσότερα

Ειςαγωγι ςτθν Επιςτιμθ Υπολογιςτϊν. Ειςαγωγι ςτθν Python

Ειςαγωγι ςτθν Επιςτιμθ Υπολογιςτϊν. Ειςαγωγι ςτθν Python Ειςαγωγι ςτθν Επιςτιμθ Υπολογιςτϊν Ειςαγωγι ςτθν Python Γ Μζροσ Modules, Αντικειμενοςτραφισ Προγραμματιςμόσ ςτθν Python, Classes, Objects, Αλλθλεπίδραςθ με αρχεία Ειςαγωγι αρκρωμάτων (modules): import

Διαβάστε περισσότερα

TIM Εικονικό Περιβάλλον Συνεργασίας Οδθγίεσ Χριςθσ

TIM Εικονικό Περιβάλλον Συνεργασίας Οδθγίεσ Χριςθσ www.timproject.eu www.tim.project-platform.eu TIM Εικονικό Περιβάλλον Συνεργασίας Οδθγίεσ Χριςθσ This project has been founded with support form the European Commission. This presentation reflects the

Διαβάστε περισσότερα

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Διαχείριςθ Μνιμθσ Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Κάκε μεταβλθτι ςχετίηεται με μία κζςθ ςτθν κφρια μνιμθ του υπολογιςτι. Κάκε κζςθ ςτθ μνιμθ ζχει τθ δικι τθσ ξεχωριςτι διεφκυνςθ. Με άμεςθ

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Αυτοματοποίηςησ Κυκλώματοσ Πληρωμών ΟΛΠ μζςω e-banking VERSION <Final>

Εγχειρίδιο Χρήςησ Αυτοματοποίηςησ Κυκλώματοσ Πληρωμών ΟΛΠ μζςω e-banking VERSION <Final> Εγχειρίδιο Χρήςησ Αυτοματοποίηςησ Κυκλώματοσ Πληρωμών ΟΛΠ μζςω e-banking VERSION Document Control File Name Εγχειρίδιο Χρήςτη Ebanking ΟΛΠ V2.Doc Prepared By Σωκράτησ καλαματιανόσ (skalamatianos@eurobank.gr)

Διαβάστε περισσότερα

GNSS Solutions guide. 1. Create new Project

GNSS Solutions guide. 1. Create new Project GNSS Solutions guide 1. Create new Project 2. Import Raw Data Αναλόγωσ τον τφπο των δεδομζνων επιλζγουμε αντίςτοιχα το Files of type. παράδειγμα ζχουν επιλεγεί για ειςαγωγι αρχεία τφπου RINEX. το Με τθν

Διαβάστε περισσότερα

Ένα πρόβλθμα γραμμικοφ προγραμματιςμοφ βρίςκεται ςτθν κανονικι μορφι όταν:

Ένα πρόβλθμα γραμμικοφ προγραμματιςμοφ βρίςκεται ςτθν κανονικι μορφι όταν: Μζθοδος Simplex Η πλζον γνωςτι και περιςςότερο χρθςιμοποιουμζνθ μζκοδοσ για τθν επίλυςθ ενόσ γενικοφ προβλιματοσ γραμμικοφ προγραμματιςμοφ, είναι θ μζκοδοσ Simplex θ οποία αναπτφχκθκε από τον George Dantzig.

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Δίκτυα Επικοινωνιών ΙΙ Διδάςκων: Απόςτολοσ Γκάμασ (Διδάςκων ΠΔ 407/80) Βοθκόσ Εργαςτθρίου: Δθμιτριοσ Μακρισ Ενδεικτική Λύση 1

Διαβάστε περισσότερα

1. Εγκατάςταςη κειμενογράφου JCE

1. Εγκατάςταςη κειμενογράφου JCE 1. Εγκατάςταςη κειμενογράφου JCE 1.1. Πθγαίνουμε ςτθν ακόλουκθ διεφκυνςθ https://www.joomlacontenteditor.net/downloads/editor/joomla-3 και κατεβάηουμε τον JCE Editor 2.5.8. Εναλλακτικά βρίςκουμε το αρχείο

Διαβάστε περισσότερα

Σύ ντομος Οδηγο ς χρη σης wikidot για τα projects

Σύ ντομος Οδηγο ς χρη σης wikidot για τα projects Σύ ντομος Οδηγο ς χρη σης wikidot για τα projects Ειςαγωγή κοπόσ αυτοφ του κειμζνου είναι να δϊςει ςφντομεσ οδθγίεσ για τθν επεξεργαςία των ςελίδων του wiki τθσ ερευνθτικισ εργαςίασ. Πλιρθσ οδθγόσ για

Διαβάστε περισσότερα

Ιδιότθτεσ πεδίων Γενικζσ.

Ιδιότθτεσ πεδίων Γενικζσ. Οι ιδιότθτεσ των πεδίων διαφζρουν ανάλογα με τον τφπο δεδομζνων που επιλζγουμε. Ορίηονται ςτο κάτω μζροσ του παρακφρου ςχεδίαςθσ του πίνακα, ςτθν καρτζλα Γενικζσ. Ιδιότθτα: Μζγεκοσ πεδίου (Field size)

Διαβάστε περισσότερα

Εγχειρίδιο: Honeybee Small

Εγχειρίδιο: Honeybee Small ΚΟΚΚΙΝΟΣ ΔΗΜΗΤΡΗΣ Τηλ/Fax: 20 993677 Άγιος Δημήτριος, Αττικής 73 42 Ν. Ζέρβα 29 e-mail: Kokkinos@kokkinostoys.gr www.kokkinostoys.gr Εγχειρίδιο: Honeybee Small HEYBEE SMALL CRANE MACHINE DIP SW 2 3 4 5

Διαβάστε περισσότερα

17. Πολυδιάςτατοι πίνακεσ

17. Πολυδιάςτατοι πίνακεσ Προγραμματιςμόσ Μεκόδων Επίλυςθσ Προβλθμάτων 17. Πολυδιάςτατοι πίνακεσ Ιωάννθσ Κατάκθσ Πολυδιάςτατοι πίνακεσ o Μζχρι τϊρα μιλοφςαμε για μονοδιάςτατουσ πίνακεσ ι int age[5]= 31,28,31,30,31; o Για παράλλθλουσ

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι Τλικό υπολογιςτι (Hardware), Προςωπικόσ Τπολογιςτισ (ΡC), υςκευι ειςόδου, υςκευι εξόδου, Οκόνθ (Screen), Εκτυπωτισ (Printer), αρωτισ

Διαβάστε περισσότερα

ΝΟΜΟ ΣΟΤ BOYLE(βαςιςμζνο ςε πείραμα)

ΝΟΜΟ ΣΟΤ BOYLE(βαςιςμζνο ςε πείραμα) 2ο ΠΕΙΡΑΜΑΣΙΚΟ ΛΤΚΕΙΟ ΑΘΗΝΩΝ τθσ Κυπραίου Φωτεινισ 'Eτοσ:2012-2013 ΝΟΜΟ ΣΟΤ BOYLE(βαςιςμζνο ςε πείραμα) O Νόμος του Boyle τθ κερμοδυναμικι ο Νόμοσ του Boyle είναι ζνασ από τουσ τρεισ νόμουσ των αερίων.ωσ

Διαβάστε περισσότερα

Δίκτυα Υπολογιςτϊν 2-Rooftop Networking Project

Δίκτυα Υπολογιςτϊν 2-Rooftop Networking Project Ονοματεπώνυμα και Α.Μ. μελών ομάδασ Κοφινάσ Νίκοσ ΑΜ:2007030111 Πζρροσ Ιωακείμ ΑΜ:2007030085 Site survey Τα κτιρια τθσ επιλογισ μασ αποτελοφν το κτιριο επιςτθμϊν και το κτιριο ςτο οποίο ςτεγάηεται θ λζςχθ

Διαβάστε περισσότερα

MySchool Πρακτικζσ οδθγίεσ χριςθσ

MySchool Πρακτικζσ οδθγίεσ χριςθσ MySchool Πρακτικζσ οδθγίεσ χριςθσ 1) Δθμιουργία τμθμάτων (ΣΧΟΛΙΚΗ ΜΟΝΑΔΑ, Διαχείριςθ, Διαχείριςθ τμθμάτων) Το πρώτο που πρζπει να κάνουμε ςτο MySchool είναι να δθμιουργιςουμε τα τμιματα που υπάρχουν ςτο

Διαβάστε περισσότερα

ΑΝΑΚΟΙΝΩΗ ΜΕΣΑΒΑΣΙΚΩΝ ΡΤΘΜΙΕΩΝ ΓΙΑ ΣΙ ΑΛΛΑΓΕ ΣΟ ΠΡΟΓΡΑΜΜΑ ΠΟΤΔΩΝ ΣΟΤ ΣΜΗΜΑΣΟ ΜΗΧ. ΣΕΧΝΟΛΟΓΙΑ ΑΕΡΟΚΑΦΩΝ

ΑΝΑΚΟΙΝΩΗ ΜΕΣΑΒΑΣΙΚΩΝ ΡΤΘΜΙΕΩΝ ΓΙΑ ΣΙ ΑΛΛΑΓΕ ΣΟ ΠΡΟΓΡΑΜΜΑ ΠΟΤΔΩΝ ΣΟΤ ΣΜΗΜΑΣΟ ΜΗΧ. ΣΕΧΝΟΛΟΓΙΑ ΑΕΡΟΚΑΦΩΝ ΑΝΑΚΟΙΝΩΗ ΜΕΣΑΒΑΣΙΚΩΝ ΡΤΘΜΙΕΩΝ ΓΙΑ ΣΙ ΑΛΛΑΓΕ ΣΟ ΠΡΟΓΡΑΜΜΑ ΠΟΤΔΩΝ ΣΟΤ ΣΜΗΜΑΣΟ ΜΗΧ. ΣΕΧΝΟΛΟΓΙΑ ΑΕΡΟΚΑΦΩΝ Πλοι οι ςπουδαςτζσ ακολουκοφν το νζο πρόγραμμα ςπουδών από το παρόν εξάμθνο που βρίςκονται. Για τα

Διαβάστε περισσότερα

Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου

Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου Περιεχόμενα Ρυθμίςεισ αςφάλειασ κατά την εγκατάςταςη τησ εφαρμογήσ TAXISnet offline ςε JAVA 1.6... 2 Χρήςη Εφαρμογήσ-υνοπτικά Βήματα...

Διαβάστε περισσότερα

Νζεσ Τάςεισ ςτην εκπαιδευτική διαδικαςία: Gamification

Νζεσ Τάςεισ ςτην εκπαιδευτική διαδικαςία: Gamification Νζεσ Τάςεισ ςτην εκπαιδευτική διαδικαςία: Gamification Δρ. Παναγιϊτθσ Ζαχαριάσ Οικονομικό Πανεπιςτιμιο Ακθνϊν - 15/5/2014 Ημερίδα με κζμα: «Οικονομία τθσ Γνϊςθσ: Αξιοποίθςθ τθσ καινοτομίασ ςτθ Β Βάκμια

Διαβάστε περισσότερα

Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ

Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ Ευχαριςτοφμε που επιλζξατε το memoq 4.5, το πρωτοκλαςάτο περιβάλλον μετάφραςθσ για ελεφκερουσ επαγγελματίεσ μεταφραςτζσ, μεταφραςτικά γραφεία και επιχειριςεισ. Αυτό

Διαβάστε περισσότερα

ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ

ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ ΕΙΑΓΩΓΗ Ο νζοσ δικτυακόσ τόποσ τθσ Δ.Δ.Ε. Θεςπρωτίασ παρζχει πλζον τθ δυνατότθτα τθσ καταχϊρθςθσ νζων, ειδιςεων και

Διαβάστε περισσότερα

Slide 1. Εισαγωγή στη ψυχρομετρία

Slide 1. Εισαγωγή στη ψυχρομετρία Slide 1 Εισαγωγή στη ψυχρομετρία 1 Slide 2 Σφντομη ειςαγωγή ςτη ψυχρομετρία. Διάγραμμα Mollier (πίεςησ-ενθαλπίασ P-H) Σο διάγραμμα Mollier είναι μία γραφικι παράςταςθ ςε ζναν άξονα ςυντεταγμζνων γραμμϊν

Διαβάστε περισσότερα

Electronics μαηί με τα ςυνοδευτικά καλϊδια και το αιςκθτιριο κερμοκραςίασ LM335 που περιζχονται

Electronics μαηί με τα ςυνοδευτικά καλϊδια και το αιςκθτιριο κερμοκραςίασ LM335 που περιζχονται Σομζασ: Ηλεκτρονικόσ Εκπαιδευτικόσ: Μπουλταδάκθσ τζλιοσ Μάθημα: υλλογι και μεταφορά δεδομζνων μζςω Η/Τ, Αιςκθτιρεσ-Ενεργοποιθτζσ Αντικείμενο: α) Μζτρθςθ κερμοκραςίασ με το αιςκθτιριο LM335 και μεταφορά

Διαβάστε περισσότερα

Visual C Express - Οδηγός Χρήσης

Visual C Express - Οδηγός Χρήσης Visual C++ 2008 Express - Οδηγός Χρήσης Ζερβός Μιχάλης, Πρίντεζης Νίκος Σκοπόσ του οδθγοφ αυτοφ είναι να παρουςιάςει τισ βαςικζσ δυνατότθτεσ του Visual C++ 2008 Express Edition και πωσ μπορεί να χρθςιμοποιθκεί

Διαβάστε περισσότερα

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Δρ. Χρήζηος Ηλιούδης Μθ Προςθμαςμζνοι Ακζραιοι Εφαρμογζσ (ςε οποιαδιποτε περίπτωςθ δεν χρειάηονται αρνθτικοί αρικμοί) Καταμζτρθςθ. Διευκυνςιοδότθςθ.

Διαβάστε περισσότερα

Παράςταςη ςυμπλήρωμα ωσ προσ 1

Παράςταςη ςυμπλήρωμα ωσ προσ 1 Δρ. Χρήστος Ηλιούδης Θζματα διάλεξησ ΣΤ1 Προςθεςη αφαίρεςη ςτο ΣΤ1 2 ή ΣΤ1 Ονομάηουμε ςυμπλιρωμα ωσ προσ μειωμζνθ βάςθ R ενόσ μθ προςθμαςμζνου αρικμοφ Χ = ( Χ θ-1 Χ θ-2... Χ 0 ) R ζναν άλλον αρικμό Χ'

Διαβάστε περισσότερα

Κατά τθν ενεργοποίθςθ τθσ ιδιότθτασ αυτισ ενδζχεται να εμφανιςτεί ζνα μινυμα ςαν αυτό τθσ παρακάτω εικόνασ. Απλά επιβεβαιϊςτε πατϊντασ ΟΚ.

Κατά τθν ενεργοποίθςθ τθσ ιδιότθτασ αυτισ ενδζχεται να εμφανιςτεί ζνα μινυμα ςαν αυτό τθσ παρακάτω εικόνασ. Απλά επιβεβαιϊςτε πατϊντασ ΟΚ. Δημιουργία Πινάκων Για τθ δθμιουργία πινάκων ςτο περιβάλλον phpmyadmin μποροφμε είτε να χρθςιμοποιιςουμε τθ φόρμα δθμιουργίασ πίνακα, είτε να εκτελζςουμε ζνα ερϊτθμα SQL Στθ παρακάτω εικόνα φαίνεται μια

Διαβάστε περισσότερα

Μονάδες 6. Μονάδες ΓΑΨΕ Δεν υπάρχει ρίηα 2. ΑΝ Α>0 ΤΟΤΕ 3. ΤΕΛΟΣ_ΑΝ 4. ΑΛΛΙΩΣ 5. ίηα Τ_(Α)

Μονάδες 6. Μονάδες ΓΑΨΕ Δεν υπάρχει ρίηα 2. ΑΝ Α>0 ΤΟΤΕ 3. ΤΕΛΟΣ_ΑΝ 4. ΑΛΛΙΩΣ 5. ίηα Τ_(Α) 50 Χρόνια ΦΡΟΝΣΙΣΗΡΙΑ ΜΕΗ ΕΚΠΑΙΔΕΤΗ ΑΒΒΑΪΔΗ-ΜΑΝΩΛΑΡΑΚΗ ΠΑΓΚΡΑΣΙ : Φιλολάου & Εκφαντίδου 26 : Σηλ.: 2107601470 ΔΙΑΓΩΝΙΣΜΑ : ΑΝΑΡΤΥΞΗ ΕΦΑΜΟΓΩΝ ΣΕ ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ Γϋ ΛΥΚΕΙΟΥ 2011 ΘΕΜΑ Α I. Η ςειριακι

Διαβάστε περισσότερα

Εργαστηριακή άσκηση στο μάθημα του Αυτομάτου Ελέγχου (ΜΜ803)

Εργαστηριακή άσκηση στο μάθημα του Αυτομάτου Ελέγχου (ΜΜ803) Εργαστηριακή άσκηση στο μάθημα του Αυτομάτου Ελέγχου (ΜΜ803) Το ςφςτθμα τθσ φωτογραφίασ αποτελείται από ζνα κινθτιρα ςτον άξονα του οποίου ζχουμε προςαρμόςει ζνα φορτίο. Στον κινθτιρα υπάρχει ςυνδεδεμζνοσ

Διαβάστε περισσότερα

Βαςεις δεδομενων 1. Δρ. Αλζξανδροσ Βακαλουδθσ

Βαςεις δεδομενων 1. Δρ. Αλζξανδροσ Βακαλουδθσ Βαςεις δεδομενων 1 Δρ. Αλζξανδροσ Βακαλουδθσ επικοινωνια Email: avakaloudis@hotmail.com Website: http://teiser.alvak.gr Ερωτιςεισ Στο ΤΕΙ Σερρϊν Δευτζρα, Τριτθ (κατοπιν ςυννενόθςθσ) Σιμερα Μοντζλο οντοτιτων

Διαβάστε περισσότερα

Εγκατάσταση & Διαχείριση Joomla ΤΜΒΟΤΛΟ ΠΛΗΡΟΦΟΡΙΚΗ Ν. ΕΡΡΩΝ & ΚΕ.ΠΛΗ.ΝΕ.Σ. Ν. ΕΡΡΩΝ

Εγκατάσταση & Διαχείριση Joomla ΤΜΒΟΤΛΟ ΠΛΗΡΟΦΟΡΙΚΗ Ν. ΕΡΡΩΝ & ΚΕ.ΠΛΗ.ΝΕ.Σ. Ν. ΕΡΡΩΝ ΤΜΒΟΤΛΟ ΠΛΗΡΟΦΟΡΙΚΗ Ν. ΕΡΡΩΝ & ΚΕ.ΠΛΗ.ΝΕ.Σ. Ν. ΕΡΡΩΝ Ιςτορική Αναδρομή 1 Σεπτεμβρίου 2005: Γεννικθκε το όνομα Joomla, προιλκε από τθ λζξθ Jumla που ςτα ουαχίλι ςθμαίνει «όλοι μαηί» 15 Σεπτεμβρίου 2005:

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε)

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε) ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε) Γραφικό Περιβάλλον Επικοινωνίασ Περιβάλλον Εντολϊν Γραμμισ (Graphical User Interface/GUI), (Command Line Interface),

Διαβάστε περισσότερα

ΜΑΘΗΜΑΤΙΚΑ Α Γυμνασίου

ΜΑΘΗΜΑΤΙΚΑ Α Γυμνασίου ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΜΑΘΗΜΑΤΙΚΑ Α Γυμνασίου Ενότητα 1β: Ισότητα - Εξίσωση ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΤΙΤΟΥΤΟ ΥΠΗΡΕΣΙΑ ΑΝΑΠΤΥΞΗΣ ΠΡΟΓΡΑΜΜΑΤΩΝ ΜΑΘΗΜΑΤΙΚΑ Α Γυμνασίου Ενότητα 1β: Ισότητα - Εξίσωση Συγγραφή:

Διαβάστε περισσότερα

ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO

ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO Το Micro Worlds Pro είναι ζνα ολοκλθρωμζνο περιβάλλον προγραμματιςμοφ. Χρθςιμοποιεί τθ γλϊςςα προγραμματιςμοφ Logo (εξελλθνιςμζνθ) Το Micro Worlds Pro περιλαμβάνει

Διαβάστε περισσότερα

assessment.gr USER S MANUAL (users)

assessment.gr USER S MANUAL (users) assessment.gr USER S MANUAL (users) Human Factor January 2010 Περιεχόμενα 1. Γενικζσ οδθγίεσ ςυςτιματοσ... 3 1.1 Αρχικι ςελίδα... 3 1.2 Ερωτθματολόγια... 6 1.2.1 Τεςτ Γνϊςεων Γενικοφ Ρεριεχομζνου... 6

Διαβάστε περισσότερα

Οδθγόσ εγκατάςταςθσ προγραμμάτων για ανάπτυξθ εφαρμογών ςε iphone

Οδθγόσ εγκατάςταςθσ προγραμμάτων για ανάπτυξθ εφαρμογών ςε iphone ΧΟΛΗ ΣΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΣΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗ & ΕΠΙΚΟΙΝΩΝΙΩΝ ΠΡΟΓΡΑΜΜΑΣΙΣΙΚΕ ΕΦΑΡΜΟΓΕ ΣΟ ΔΙΑΔΙΚΣΤΟ Οδθγόσ εγκατάςταςθσ προγραμμάτων για ανάπτυξθ εφαρμογών ςε iphone Ονοματεπώνυμο: Επιβλζπων: ιώπθσ πφροσ

Διαβάστε περισσότερα

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό.

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό. Κωδικοποιητές Ο κωδικοποιθτισ (nor) είναι ζνα κφκλωμα το οποίο διακζτει n γραμμζσ εξόδου και το πολφ μζχρι m = 2 n γραμμζσ ειςόδου και (m 2 n ). Οι ζξοδοι παράγουν τθν κατάλλθλθ λζξθ ενόσ δυαδικοφ κϊδικα

Διαβάστε περισσότερα

Διδάςκων: Κωνςταντίνοσ τεφανίδθσ

Διδάςκων: Κωνςταντίνοσ τεφανίδθσ ΠΑΝΕΠΙΣΗΜΙΟ ΚΡΗΣΗ ΧΟΛΗ ΘΕΣΙΚΩΝ ΕΠΙΣΗΜΩΝ ΣΜΗΜΑ ΕΠΙΣΗΜΗ ΤΠΟΛΟΓΙΣΩΝ ΜΑΘΗΜΑ ΕΠΙΛΟΓΗ ΗΤ-564 ΠΡΟΧΩΡΗΜΕΝΑ ΘΕΜΑΣΑ ΕΠΙΚΟΙΝΩΝΙΑ ΑΝΘΡΩΠΟΤ - ΜΗΧΑΝΗ Διδάςκων: Κωνςταντίνοσ τεφανίδθσ τόχοσ τθσ ςυγκεκριμζνθσ εργαςίασ

Διαβάστε περισσότερα

ΔΕΞΙΟΣΗΣΕ ΕΠΙΚΟΙΝΩΝΙΑ / ΚΟΙΝΩΝΙΚΑ ΔΙΚΣΤΑ (ΕΡΓΑΣΗΡΙΟ) Οδθγίεσ για τθ χριςθ των Google Docs, Twitter και Dropbox

ΔΕΞΙΟΣΗΣΕ ΕΠΙΚΟΙΝΩΝΙΑ / ΚΟΙΝΩΝΙΚΑ ΔΙΚΣΤΑ (ΕΡΓΑΣΗΡΙΟ) Οδθγίεσ για τθ χριςθ των Google Docs, Twitter και Dropbox 1 Αλεξάνδπειο Σεσνολογικό Εκπαιδεςηικό Ίδπςμα Θεζζαλονίκηρ Σμήμα Πληποθοπικήρ ΔΕΞΙΟΣΗΣΕ ΕΠΙΚΟΙΝΩΝΙΑ / ΚΟΙΝΩΝΙΚΑ ΔΙΚΣΤΑ (ΕΡΓΑΣΗΡΙΟ) Οδθγίεσ για τθ χριςθ των Google Docs, Twitter και Dropbox 1. Google Docs

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Αυτζσ οι οδθγίεσ ζχουν ςτόχο να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο τθσ Αρικμογραμμισ.

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΡΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 7: Ρροςταςία Λογιςμικοφ - Ιοί

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΡΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 7: Ρροςταςία Λογιςμικοφ - Ιοί ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΡΟΛΟΓΙΣΤΗ ΚΕΦΑΛΑΙΟ 7: Ρροςταςία Λογιςμικοφ - Ιοί Ρρόγραμμα-ιόσ (virus), Αντιϊικό πρόγραμμα (antivirus), Αντίγραφα αςφαλείασ (backup), Χάκερ (hacker) Είναι οι αποκθκευμζνεσ

Διαβάστε περισσότερα

1 Εγκατϊςταςη λογαριαςμού email

1 Εγκατϊςταςη λογαριαςμού email 1 Εγκατϊςταςη λογαριαςμού email 1.1 Εγκατϊςταςη λογαριαςμού ςε Microsoft Office Outlook 2003 1.1.1 Αν δεν χρηςιμοποιεύτε όδη το Outlook. ε περίπτωςθ που δεν ζχετε εγκαταςτιςει άλλο λογαριαςμό ςτο Microsoft

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 3 ο Εργαςτιριο υγχρονιςμόσ Διεργαςιϊν

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 3 ο Εργαςτιριο υγχρονιςμόσ Διεργαςιϊν ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 3 ο Εργαςτιριο υγχρονιςμόσ Διεργαςιϊν Παράλλθλεσ Διεργαςίεσ (1/5) Δφο διεργαςίεσ λζγονται «παράλλθλεσ» (concurrent) όταν υπάρχει ταυτοχρονιςμόσ, δθλαδι οι εκτελζςεισ τουσ επικαλφπτονται

Διαβάστε περισσότερα

1. Κατέβαςμα του VirtueMart

1. Κατέβαςμα του VirtueMart 1. Κατέβαςμα του VirtueMart Αρχικό βήμα (προαιρετικό). Κατζβαςμα και αποςυμπίεςη αρχείων VirtueMart ΠΡΟΟΧΗ. Αυτό το βήμα να παρακαμφθεί ςτο εργαςτήριο. Τα αρχεία θα ςασ δοθοφν από τουσ καθηγητζσ ςασ. Οι

Διαβάστε περισσότερα

Ζτοιμα πακζτα λογιςμικοφ για μθ ςτατικοφσ ιςτότοπουσ

Ζτοιμα πακζτα λογιςμικοφ για μθ ςτατικοφσ ιςτότοπουσ Ζτοιμα πακζτα λογιςμικοφ για μθ ςτατικοφσ ιςτότοπουσ Αλαμπουρνέζικη ορολογία Για διαδραςτικοφσ, ςυμμετοχικοφσ ιςτότοπουσ Για αποκικευςθ ςτοιχείων και δεδομζνων πολλών χρθςτών Ζτοιμθ υποδομι βαςιςμζνθ ςε

Διαβάστε περισσότερα

Άςκθςθ 1θ: Να γραφεί αλγόρικμοσ που κα δθμιουργεί με τθ βοικεια διπλοφ επαναλθπτικοφ βρόχου, τον ακόλουκο διςδιάςτατο πίνακα:

Άςκθςθ 1θ: Να γραφεί αλγόρικμοσ που κα δθμιουργεί με τθ βοικεια διπλοφ επαναλθπτικοφ βρόχου, τον ακόλουκο διςδιάςτατο πίνακα: 2 ο Σετ Ασκήσεων Δομές Δεδομένων - Πίνακες Άςκθςθ 1θ: Να γραφεί αλγόρικμοσ που κα δθμιουργεί με τθ βοικεια διπλοφ επαναλθπτικοφ βρόχου, τον ακόλουκο διςδιάςτατο πίνακα: 2 3 4 5 3 4 5 6 4 5 6 7 5 6 7 8

Διαβάστε περισσότερα

Εγκατάσταση «Μισθός 2005»

Εγκατάσταση «Μισθός 2005» Εγκατάσταση «Μισθός 2005» Έκδοση 8.5 ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ Βιμα 1 ο. Κάνουμε φφλαξθ των αρχείων από τθν προθγοφμενθ ζκδοςθ του προγράμματοσ. Εργαλεία Φφλαξθ c:\msteuro\20111001 *Εντάξει+ Όποσ: 20111001

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 3: Χριςθ εργαλείων ζκφραςθσ, επικοινωνίασ, ανακάλυψθσ και δθμιουργίασ. ΚΕΦΑΛΑΙΟ 7: Υπθρεςίεσ Αναηιτθςθσ ςτον Παγκόςμιο Ιςτό

ΕΝΟΤΗΤΑ 3: Χριςθ εργαλείων ζκφραςθσ, επικοινωνίασ, ανακάλυψθσ και δθμιουργίασ. ΚΕΦΑΛΑΙΟ 7: Υπθρεςίεσ Αναηιτθςθσ ςτον Παγκόςμιο Ιςτό ΕΝΟΤΗΤΑ 3: Χριςθ εργαλείων ζκφραςθσ, επικοινωνίασ, ΚΕΦΑΛΑΙΟ 7: Υπθρεςίεσ Αναηιτθςθσ ςτον Παγκόςμιο Ιςτό Αναηιτθςθ πλθροφοριϊν, Διεφκυνςθ Ιςτοςελίδασ (URL), κεματικοί Κατάλογοι, Λζξεισ Κλειδιά, Μθχανζσ

Διαβάστε περισσότερα