ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ"

Transcript

1 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕ ΙΑΣΗ ΚΥΚΛΩΜΑΤΩΝ ΜΕΓΑΛΗΣ ΚΛΙΜΑΚΑΣ ΟΛΟΚΛΗΡΩΣΗΣ (VLSI) ΜΕ ΧΡΗΣΗ ΤΗΣ ΤΕΧΝΟΛΟΓΙΑΣ ΤΩΝ FPGA ρ. Ε. Βασιλακοπούλου οµήτης Παρουσίασης ιάκριση Κυκλωµάτων. Βασικά Χαρακτηριστικά των PLD Αρχιτεκτονικών. Γενική οµή των PLD. Tα FPGA της Altera. Σχεδίαση κυκλωµάτων µε FPGA. Σχεδίαση κυκλωµάτων µε το σχεδιαστικό πακέτο MAX+PLUS II της Altera. Αναλυτικά το MAX+PLUS II. Μεθοδολογία Σχεδίασης Κυκλωµάτων στο MAX+PLUS II.

2 Εισαγωγή στα PLD Βασικά Χαρακτηριστικά των PLD Προγραµµατιζόµενου Πεδίου. Επαναπρογραµατιζόµενες Αρχιτεκτονικές. Επαλήθευση λειτουργίας ενός κυκλώµατος σε υλικό. Ταχύς χρόνος σχεδίασης πρωτοτύπων. Μείωση του κόστους παραγωγής των τελικών IC κυκλωµάτων.

3 υνατότητα Προγραµµατισµού ιατάξεων H λογική που υλοποιεί κάποια προγραµµατιζόµενη διάταξη καθορίζεται από τον κατάλληλο προγραµµατισµό της µνήµης M. Υποστηρίζονται διάφορα είδη µνηµών Μ. Μνήµες που προγραµµατίζονται µόνο µια φορά. ANTIFUSE, EPROM. Επαναπρογραµατιζόµενες Μνήµες. EPROM, EEPROM, FLASH και SRAM. Μ Μνήµη Προγραµµατισµού Συνδυαστική Λογική Μ Μνήµη Προγραµµατισµού Βασικό στοιχείο της αρχιτεκτονικής οι πίνακες αναζήτησης (LUT). 4 ή 5 εισόδους. Έχουν συνήθως τη δοµή µιας ROM.

4 Ακολουθιακή Λογική (Προγραµµατιζόµενοι Καταχωρητές) Προγραµµατιζόµενες ιασυνδέσεις Στοιχείο Μεταγωγής Λογικά Κελιά Λογικά Κελιά Λογικά Κελιά Λογικά Κελιά Λογικά Κελιά Στοιχείο Μεταγωγής Στοιχείο Μεταγωγής Βασικά µέσα δροµολόγησης των σηµάτων Στοιχεία Μεταγωγής (Switching Elements). Αποµονωτές ρολογιών (Clock buffers). Τοπικές/ Καθολικές γραµµές διασύνδεσης (Local/Global Lines).

5 Προγραµµατιζόµενες Είσοδοι/Έξοδοι Τυπικά σήµατα ελέγχου των προγραµµατιζόµενων εισόδων/εξόδων: Κατεύθυνση. Ι/Ο Καταχωρητές. Ρυθµός Ανόδου (Slew Rate). Οι Προγραµµατιζόµενες ιατάξεις της Altera Ι/Ο Αριθµός Πυλών

6 Η Οικογένεια FLEX8000 της Altera ιάταξη # Πυλών # Στοιχείων & FFs Ταχύτητα Συσκευασία # Ι/Ο Τα Βασικά Χαρακτηριστικά της FLEX8000 Οικογένειας Τα FPGA της συγκεκριµένης οικογένειας υποστηρίζουν: καταχωρητές λογικές πύλες. Τα προγραµµατιζόµενα FF έχουν διαφορετικά σήµατα clear, preset. Η δροµολόγηση τω σηµάτων στηρίζεται στην FastTrack αρχιτεκτονική της Altera. Περιέχονται αφιερωµένες carry και cascade αλυσίδες. Προγραµµατιζόµενος έλεγχος του slew rate των σηµάτων εξόδου. Υποστηρίζεται επαναπρογραµµατισµός των διατάξεων. Περιλαµβάνει JTAG για έλεγχο της λειτουργίας του κυκλώµατος. Υποστηρίζει τάσεις λειτουργίας: 3.3 και 5 V.

7 H Αρχιτεκτονική της FLEX8000 Οικογένειας Στοιχείο Λογικής Η οµή του Βασικού Στοιχείου Λογικής της Οικογένειας FLEX8000 Προγραµµατιζόµενος Καταχωρητής

8 Οι Carry Αλυσίδες Οι Cascade Αλυσίδες

9 Η οµή των LAB της FLEX8000 Οικογένειας FastTrack ιασυνδέσεις γραµµής Τοπικές ιαδυνδέσεις του LAB (32 κανάλια) από το LAB αριστερά Σήµατα Ελέγχου του LAB ιασυνδέσεις από στήλη σε γραµµή FastTrack ιασυνδέσεις στήλης προς το LAB δεξιά Οι οµή των FastTrack ιασυνδέσεων της FLEX8000 Οικογένειας FastTrack Γραµµής (168/216 Κανάλια) FastTrack Στήλης (16 Κανάλια) Τοπικό FastTrack(32 Κανάλια)

10 Η οµή των I/O Στοιχείων της FLEX8000 Οικογένειας Προς διασύνδεση γραµµής ή στήλης Προγραµµατιζόµενη Αναστροφή Από διασύνδεση γραµµής ή στήλης Έλεγχος του Slew Rate ιαθέσιµο µόνο για τις EPF81500A διατάξεις ιαδικασία Σχεδίασης µε τη Χρήση της Τεχνολογίας των FPGA Σχεδιαστικές Ιδέες Αναλυτική Σχεδίαση Εξοµοίωση Λειτουργίας Προγραµµατισµός ιάταξης Εξοµοίωση Χρονισµών Υλοποίηση (Τοποθέτηση & ιασυνδέσεις)

11 ιαδικασία Σχεδίασης µε τη Χρήση της Τεχνολογίας των FPGA Σχεδιαστικές Ιδέες Προγραµµατισµός ιάταξης Προδιαγραφές Λειτουργίας. Κόστος Υλοποίησης. Αναλυτική Σχεδίαση Εξοµοίωση Χρονισµών Εξοµοίωση Λειτουργίας Τεχνολογία (ASIC/ FPGA). Παροχείς FPGA Τεχνολογίας. Οικογένεια των FPGA. Υλοποίηση (Τοποθέτηση & ιασυνδέσεις) Χρόνος Ανάπτυξης. ιαδικασία Σχεδίασης µε τη Χρήση της Τεχνολογίας των FPGA Σχεδιαστικές Ιδέες Αναλυτική Σχεδίαση Εξοµοίωση Λειτουργίας Επιλογή της µεθοδολογίας ορισµού του κυκλώµατος. Σχηµατικά. Υλοποίηση Προγραµµατισµός Εξοµοίωση Γλώσσες ιάταξης Περιγραφής Υλικού (πχ (Τοποθέτηση & Χρονισµών Verilog, VHDL). ιασυνδέσεις) Σχηµατικά και Γλώσσα Περιγραφής Υλικού. ιαχείριση της Ιεραρχίας του υπό-σχεδίαση κυκλώµατος. Καταµερισµός των λειτουργιών σε υπο-κυκλώµατα. Χρήση των βιβλιοθηκών της τεχνολογίας για µείωση του χρόνου σχεδίασης. ηµιουργία και διαχείριση των υποκυκλωµάτων.

12 ιαδικασία Σχεδίασης µε τη Χρήση της Τεχνολογίας των FPGA Προετοιµασία για Εξοµοίωση. Ορισµός των κυµατοµορφών για τα σήµατα εισόδου. Έλεγχος των Αποτελεσµάτων. Σχεδιαστικές Αναλυτική Πιστοποίηση Ιδέεςτης λειτουργίας Σχεδίαση του κυκλώµατος σύµφωνα µε τις προδιαγραφές. Προγραµµατισµός ιάταξης Εξοµοίωση Χρονισµών Εξοµοίωση Λειτουργίας Υλοποίηση (Τοποθέτηση & ιασυνδέσεις) ιαδικασία Σχεδίασης µε τη Χρήση της Τεχνολογίας των FPGA ηµιουργία Λίστας ιασυνδέσεων. Έλεγχος του κυκλώµατος σύµφωνα µε τους κανόνες που ορίζονται από την τεχνολογία που χρησιµοποιείται. Βελτιστοποίηση των Λογικών Πυλών, Σχεδιαστικές Αντιστοίχηση Ιδέες µε τα δοµικά υλικά που παρέχει η τεχνολογία. Τοποθέτηση. ιασύνδέσεις. Προγραµµατισµός ιάταξης Αναλυτική Σχεδίαση Εξοµοίωση Χρονισµών Παραγωγή της ακολουθίας των bit που θα χρησιµοποιηθούν για τη διαµόρφωση της λειτουργίας της διάταξης. Εξοµοίωση Λειτουργίας Υλοποίηση (Τοποθέτηση & ιασυνδέσεις)

13 ιαδικασία Σχεδίασης µε τη Χρήση της Τεχνολογίας των FPGA Ανάλυση των Χρονισµών. Εξέταση των χρονικών περιορισµών. Εµφάνιση αναλυτικής λίστας των χρονισµών όλων των µονοπατιών. Προσδιορισµός το πιο κρίσιµου µονοπατιού. Καθορισµός της µέγιστης συχνότητας καλής λειτουργίας του κυκλώµατος. Σχεδιαστικές Αναλυτική Εξοµοίωση Ιδέες Σχεδίαση Λειτουργίας Πιστοποίηση τόσο της χρονικής όσο και της λειτουργικής συµπεριφοράς του κυκλώµατος σύµφωνα µε τις προδιαγραφές. Εξοµοίωση των Χρονισµών. Προγραµµατισµός ιάταξης Εξοµοίωση Χρονισµών Υλοποίηση (Τοποθέτηση & ιασυνδέσεις) ιαδικασία Σχεδίασης µε τη Χρήση της Τεχνολογίας των FPGA Επιλογή του κατάλληλου τρόπου διαµόρφωσης της λειτουργίας της διάταξης. Σχεδιαστικές Ιδέες Αναλυτική Σχεδίαση Εξοµοίωση Λειτουργίας Προγραµµατισµός ιάταξης Εξοµοίωση Χρονισµών Υλοποίηση (Τοποθέτηση & ιασυνδέσεις)

14 ιαδικασία Σχεδίασης µε τo MAX+PLUS II της Altera Ορισµός Κυκλώµατος στο MAX+PLUS II Για εισαγωγή σχηµατικών Graphic Editor. Symbol Editor. Για εισαγωγή κυκλωµάτων µε κάποια από τις HDL s. Text Editor. Για δηµιουργία και απεικόνιση κυµατοµορφών Waveform Editor Για εµφάνιση της ιεραρχίας ενός κυκλώµατος Hierarchy Editor. Για απεικόνιση της τοποθέτησης των εξαρτηµάτων και των διασυνδέσεων. Floorplan Editor.

15 Επεξεργασία Κυκλώµατος στο MAX+PLUS II MAX+PLUS II Compiler. MAX+ PLUS II Floorplan Editor. Message Processor. Πιστοποίηση Λειτουργίας Κυκλώµατος στο MAX+PLUS II MAX+ PLUS II Simulator. MAX+ PLUS II Waveform Editor. MAX+ PLUS II Timing Analyzer.

16 Προγραµµατισµός ιατάξεων µε το MAX+PLUS II Το Προγραµµατιστικό Περιβάλλον του MAX+PLUS II Φάκελος και όνοµα του Project Παρέχει συντοµεύσεις για συναρτήσεις που χρησιµοποιούνται συχνά To βασικό menu του MAX+PLUS II δίνει πρόσβαση σε όλες τις λειτουργίες του MAX+PLUS II Help Menu Σύντοµη Περιγραφή του επιλεγµένου menu

17 Το Menu του MAX+PLUS II Κλήση όλων των λειτουργιών του MAX+PLUS II To File Menu

18 To Assign Menu Ορισµός των περιορισµών στους οποίους υπόκειται το project To Options Menu Εισαγωγή επιλογών του χρήστη

19 To Help Menu Μεθοδολογία Σχεδίασης Κυκλωµάτων στο MAX+PLUS II Προδιαγραφές Λειτουργίας Εισαγωγή Κυκλώµατος Συµβολοµετάφραση (Compilation) Αλλαγές στη σχεδίαση Εξοµοίωση Λειτουργίας (Functional Verification) Εξοµοίωση Χρονισµών (Timing Verification) Προγραµµατισµός ιατάξεων (Device Programming) Επιβεβαίωση Λειτουργίας στο Σύστηµα Παραγωγή Συστήµατος

20 Εισαγωγή Κυκλώµατος Ορισµός του Project. Ένα Project αποτελείται από κάποιο αρχείο σχεδιασµού κυκλώµατος και: Ελέγχεται για πιθανά σχεδιαστικά λάθη. Συµβολοµεταφράζεται. Εξοµοιώνεται. Γίνεται ανάλυση των χρονισµών του. Χρησιµοποιείται για να δηµιουργηθεί το αρχείο προγραµµατισµού της διάταξης. Το όνοµα του Project πρέπει να έχει το ίδιο όνοµα µε αυτό του αρχείου σχεδιασµού. Για να οριστεί ένα Project: Menu: File -> Project -> Name. (ώστε να οριστεί κάποιο νέο ή ένα ήδη υπάρχον αρχείο σχεδιασµού. Menu: File -> Project -> Set Project to Current File (ώστε να καθοριστεί το παρόν αρχείο σχεδιασµού. Απεικόνιση της Ιεραρχίας ενός Σχεδιασµού Menu: MAX+PLUS II -> Hierarchy Display To συγκεκριµένο menu αναπαριστά την ιεραρχία του συγκεκριµένου project και επιτρέπει το άνοιγµα και κλείσιµο φακέλων που περιέχονται σε αυτή. Κάθε αρχείο που περιέχεται σε ένα project απεικονίζεται µε ένα εικονίδιο και επιπλέον δείχνονται τα αρχεία που σχετίζονται µε το συγκεκριµένο αρχείο σχεδιασµού.

21 Σχεδίαση µε χρήση Σχηµατικών ιαγραµµάτων Σχεδίαση σχηµατικού διαγράµµατος. Εισαγωγή εξαρτηµάτων (σύµβολα -> symbols) Χρήση Βιβλιοθηκών. Prim (Altera Primitives) Βασικές λογικές συναρτήσεις. MF (Megafunctions). Η λογική της οικογένειας 7400 Mega_lpm (LPMs). Παραµετροποιηµένες µονάδες (πχ. Στοιχεία µνήµης, fifo s..) ιασύνδεση των εξαρτηµάτων µε καλώδια (wires) Ορισµός ονοµάτων για συγκεκριµένα καλώδια, ώστε να είναι πιο εύκολη η ανίχνευση λαθών κατά τη διάρκεια της εκσφαλµάτωσης της λειτουργίας του κυκλώµατος. Υποχρεωτικός ο ορισµός ονοµάτων σε όλες τις εισόδους και εξόδους των κυκλωµάτων. Αποθήκευση και έλεγχος του κυκλώµατος. Όλα τα αρχεία σχηµατικών έχουν την κατάληξη.gdf ιόρθωση όλων των λαθών µε τη βοήθεια του MAX+PLUS II Message Processor. ηµιουργία Συµβόλου Εισαγωγή Συµβόλων Άνοιγµα νέου Αρχείου Double Click στον Graphic Editor Πληκτρολογήστε ή επιλέξτε από τη λίστα το όνοµα του συµβόλου Βιβλιοθήκη Συµβόλων Σύµβολα που περιέχονται στην επιλεγµένη βιβλιοθήκη Όλα τα σύµβολα µπορούν να µετακινηθούν, να αντιγραφούν ή να διαγραφούν σε ένα σχηµατικό διάγραµµα µε τον ίδιο τρόπο που µια τέτοια διαδικασία πραγµατοποιείται σε ένα Windows πρόγραµµα, δηλαδή: Move: Click & drag mouse Cut: Ctrl-X Copy: Ctrl-C ή Ctrl-Click &Drag Paste: Ctrl-V Undo: Ctrl-Z

22 To Παράθυρο του Graphic Editor Παλέτα Επιλογής εισαγωγής κειµένου και σχεδιασµού γραµµών Σύµβολο Εισόδου Zoom Λειτουργίες Λειτουργίες ιασύνδεσης Κόµβος Bus Σύµβολο Σύµβολο Εξόδου ηµιουργία Συµβόλων και Include Αρχείων ηµιουργία Συµβόλου ηµιουργία Include Αρχείου

23 Ο MAX+PLUS II Message Processor Παρέχει µια λίστα όλων των πληροφοριών (info), ειδοποιήσεων (warnings) ή λαθών (errors) που δηµιουργούνται κατά τη συµβολοµετάφραση ενός project. Παρέχει βοήθεια για όλα τα µηνύµατα που εκδίδονται. Εντοπίζει στο αρχείο σχεδιασµού το σηµείο από όπου γίνεται η έκδοση του συγκεκριµένου µηνύµατος. Μηνύµατα Μηνύµατα Πληροφορίες για τα µηνύµατα που εκδίδονται Μετάβαση στο προηγούµενο ή επόµενο µήνυµα Εντοπισµός στο αρχείο σχεδιασµού Σχεδίαση µε χρήση των HDLs ηµιουργία ενός νέου Project. Όπως και στην περίπτωση σχηµατικών διαγραµµάτων. Εισαγωγή της περιγραφής του κυκλώµατος µε: AHDL. VHDL Verilog. Αποθήκευση και έλεγχος του σχεδιασµού Όπως και στην περίπτωση σχηµατικών διαγραµµάτων. Οι καταλήξεις των αρχείων είναι.tdf.vhd.v

24 AHDL (Altera Hardware Description Language) Υψηλού επιπέδου γλώσσα περιγραφής υλικού. Πλήρως ενσωµατωµένη στο MAX+PLUS II. Ειδικά σχεδιασµένη για την περιγραφή: Σύνθετων συναρτήσεων συνδυαστικής λογικής. Μηχανών Καταστάσεων. Πινάκων Αληθείας. Παραµετροποιηµένων λογικών συναρτήσεων. Όπως σε όλες τις HDLs, όλες οι διαδικασίες που περιγράφονται εκτελούνται παράλληλα και όχι σειριακά όπως στις συνήθεις γλώσσες προγραµµατισµού. Η περιγραφή ενός κυκλώµατος µε χρήση της AHDL γίνεται µε τον Text Editor του MAX+PLUS II. Γενική οµή της AHDL PARAMETERS ( δήλωση της λίστας παραµ έτρων ); SUBDESIGN όνοµ α_κυκλώµ ατος ( δήλωση της λίστας εισόδων/ εξόδων ) VARIABLE όνοµ α_µεταβλητής : τύπος_µεταβλητής (NODE, DFF, JKK. BEGIN ήλωση των διασυνδέσεων των χαρακτηριστικών στοιχείων των µεταβλητών. Χρήση λογικών τελεστών, συνδυαστικών πυλών, ακολουθιακών κυκλωµ άτων Χρήση Επαναληπτικών οµ ών END ;...

25 Βασικά Στοιχεία της AHDL (1) Αριθµοί Default είναι η χρήση των δεκαδικών αριθµών. Αναπαράσταση δυαδικών: B <ακολουθία από 0, 1 > Αναπαράσταση οκταδικών:o <ακολουθία από τα ψηφία 0 έως 7> Αναπαράσταση δεκαεξαδικών: Η <ακολουθία από τα ψηφία 0 έως F> Σύνολα Απλό: a[3..1] = (a3, a2, a1) ιπλό: d[2..0][1..0] = (d2_1, d2_0, d1_1, d1_0, d0_1, d0_0) Ολόκληρο: a[], d[][] Βασικά Στοιχεία της AHDL (2) Αριθµητικοί Τελεστές και συγκριτές Βασικά Λογικά Στοιχεία Ι/Ο INPUT, OUTPUT, BIDIR Απλές Λογικές Συναρτήσεις AND, NAND, OR, NOR, XNOR, XOR, NOT Flip Flop και Latch LATCH, DFF, DFFE, JKFF, JKFFE, SRFF, SRFFE,TFF, TFFE Buffers CARRY, CASCADE, EXP, LCELL, GLOBAL, TRI, OPNDRN VCC και GND

26 Βασικά Στοιχεία της AHDL (3) Ακροδέκτες (Ports) Του πιο ψηλά στην ιεραρχία εξαρτήµατος Είδη ακροδεκτών: INPUT, OUTPUT, BIDIR, MACHINE INPUT, MACHINE OUTPUT Των εσωτερικών εξαρτηµάτων..clk = είσοδος ρολογιού..ena = είσοδος ενεργοποίησης ρολογιού για Flip Flop ή Latch..reset = reset είσοδοs(ενεργό σε υψηλή στάθµη)..clrn = είσοδος clear (ενεργή σε χαµηλή στάθµη)..prn = είσοδος preset (ενεργή σε χαµηλή στάθµη)..d,.j,.k,.t,.s,.r = είσοδος δεδοµένων για D, JK, T και SR Flip Flop..q = Έξοδος δεδοµένων για Flip Flop ή Latch. Σύνταξη της AHDL (1) ήλωση Παραµέτρων Ορίζει την τιµή µιας ή περισσοτέρων παραµέτρων που ελέγχουν την υλοποίηση µιας παραµετρικής συναρτήσεων ή ενός παραµετρικού εξαρτήµατος. Παράδειγµα:

27 Σύνταξη της AHDL (2) ήλωση Σταθερών Οι σταθερές χρησιµοποιούνται για την αντικατάσταση συµβολικών ονοµάτων µε κάποια συγκεκριµένη τιµή ή έκφραση Παράδειγµα: Define ήλωση Για να οριστεί µια µαθηµατική έκφραση που επιστρέφει µια συγκεκριµένη τιµή, σύµφωνα µε τα ορίσµατα που έχει Παράδειγµα: Σύνταξη της AHDL (3) ήλωση Συναρτήσεων Παρέχει ένα εύκολο τρόπο περιγραφής κάποιας λογικής λειτουργίας. Παράδειγµα: Χωρίς τη χρήση παραµέτρων Με τη χρήση παραµέτρων

28 Σύνταξη της AHDL (4) Assert ήλωση Χρησιµοποιείται για τον έλεγχο µιας λογικής έκφρασης που κάνει χρήση παραµέτρων, αριθµών, συναρτήσεων. Επιστρέφει την σοβαρότητα του συµβάντος όταν η συνθήκη που ορίζεται είναι αληθής. Παράδειγµα: Σύνταξη της AHDL (5) Subdesign Τµήµα: Ορίζει τις εισόδους, εξόδους του κυκλώµατος που περιγράφεται στο.tdf αρχείο. Παράδειγµα: foo bar clk1 clk2 top b[7..0] a0 a1 a2 a3 a4

29 Σύνταξη της AHDL (6) Variable Τµήµα Για τον ορισµό µεταβλητών που θα χρησιµοποιηθούν που ορίζει τις λογικές λειτουργίες του κυκλώµατος. Παράδειγµα: Τµήµα ήλωσης Μεταβλητών Περιγραφή λογικής λειτουργίας κυκλώµατος Σύνταξη της AHDL (7) Variable Τµήµα ήλωση Κόµβων Υποστηρίζονται δύο τύποι εσωτερικών κόµβων NODE TRI_STATE_NODE Παράδειγµα : out = a; oe a t

30 Σύνταξη της AHDL (8) Variable Τµήµα ήλωση εξαρτηµάτων Κάθε λογική συνάρτηση ή εξάρτηµα που η λειτουργία τους έχει ήδη οριστεί µπορούν αν δηλωθούν σαν µια µεταβλητή Παράδειγµα: Το comp θα έχει ως ακροδέκτες: comp.a[], comp.b[], comp.less,comp.equal, comp.greater O adder θα έχει ως ακροδέκτες: adder.dataa[], adder.datab[], adder.result[] Σύνταξη της AHDL (9) Variable Τµήµα ήλωση καταχωρητών Μπορούν να δηλωθούν οποιοδήποτε είδος Flip Flop και Latch. Παράδειγµα: Variable Τµήµα ήλωση µηχανών κατάστασης ηµιουργία µιας µηχανής κατάστασης ορίζοντας το όνοµα, τις καταστάσεις της και προαιρετικά τα bit που χρησιµοποιεί Παράδειγµα: q3)

31 Σύνταξη της AHDL (10) Λογικό Τµήµα Ορίζει τις λογικές λειτουργίες του κυκλώµατος Οι δηλώσεις BEGIN και END περικλείουν την περιγραφή λειτουργίας του κυκλώµατος Boolean Εξισώσεις Αναπαριστάνουν τη διασύνδεση των εσωτερικών κόµβων. Παράδειγµα: Boolean Εξισώσεις Ελέγχου Καθορίζουν τα σήµατα ελέγχου των ακολουθιακών δοµών. Παράδειγµα: Σύνταξη της AHDL (11) Case ήλωση Παράδειγµα: Ορίζει την default λειτουργία του κυκλώµατος

32 Σύνταξη της AHDL (12) If-Then ήλωση Παράδειγµα: Σύνταξη της AHDL (13) If-Generate ήλωση ίνει µια λίστα από δηλώσεις που ενεργοποιούνται όταν κάποια αριθµητική έκφραση είναι αληθής Η συγκεκριµένη δήλωση µπορεί να χρησιµοποιηθεί στο λογικό τµήµα ή στο τµήµα µεταβλητών Παράδειγµα:

33 Σύνταξη της AHDL (14) For Generate ήλωση Παράδειγµα : Σύνταξη της AHDL (15) ήλωση Πινάκων Αληθείας Χρησιµοποιούνται για τον ορισµό συνδυαστικής λογικής ή τη λειτουργία µηχανών κατάστασης. Στην AHDL θεωρείται ότι κάθε χρονική στιγµή µόνό µια δήλωση ενός πίνακα αληθείας θα είναι αληθής Παράδειγµα :

34 AHDL Παραδείγµατα O MAX+PLUS II Text Editor

35 Εισαγωγή Κυκλώµατος στο MAX_PLUS II µε τον Text Editor ηµιουργία ενός νέου σχεδιαστικού αρχείου Menu: File -> New -> Text Editor File(.tdf) Αποθήκευση ως.tdf αρχείο Menu: File -> Save As Ορίζουµε ως project το παρόν σχεδιαστικό αρχείο Menu: File -> Project -> Set Project to Current File Εισαγωγή της περιγραφής του κυκλώµατος µε AHDL Αποθήκευση του αρχείου και έλεγχος για πιθανά λάθη Menu: File -> Project -> Project Save & Check Παραδείγµατα (1) Σχεδιάστε ένα αποκωδικοποιητή (decoder) µε: If-Then δηλώσεις. Case δηλώσεις

36 Παραδείγµατα (2) Σχεδιάστε ένα µετρητή, χρησιµοποιώντας είτε DFF/DFFE flip flop είτε τον lpm_counter από τη βιβλιοθήκη lpm. Παραδείγµατα (3) Σχεδιάστε ένα Πολλαπλασιαστή χρησιµοποιώντας το lpm_mult από τη βιβλιοθήκη lpm.

37 Παραδείγµατα (4) Σχεδιάστε ένα πολυπλέκτη χρησιµοποιώντας το εξάρτηµα lpm_mux από τη βιβλιοθήκη lpm. Παραδείγµατα (5) Σχεδίαση µιας Moore µηχανής κατάστασης. Οι έξοδοι µιας τέτοιας µηχανής κατάστασης επηρεάζονται µόνο από την κατάσταση

38 Παραδείγµατα (6) Σχεδίαση µιας Mealy µηχανής κατάστασης. Μια µηχανή κατάστασης µε ασύγχρονες εξόδους. Ο MAX+PLUS II Waveform Editor Χρησιµοποιείται για δύο διαφορετικούς λόγους: Για ορισµό λειτουργίας ενός κυκλώµατος. Για έλεγχο λειτουργίας ενός κυκλώµατος. ηµιουργία των διανυσµάτων εισόδου για οδήγηση του εξοµοιωτικού εργαλείου. Απεικόνιση των αποτελεσµάτων της εξοµοίωσης για έλεγχο της σωστής λειτουργίας του κυκλώµατος. ηµιουργία ενός νέου Waveform αρχείου ηµιουργία ενός νέου σχεδιαστικού αρχείου. Menu: File -> New -> Waveform Editor File (.wdf,.scf) Αποθήκευση του αρχείου µε κατάληξη είτε.wdf/.scf Menu: File -> Save As Ορίζουµε ως project το παρόν σχεδιαστικό αρχείο Menu: File -> Project -> Set Project to Current File

39 To Περιβάλλον του Waveform Editor Zoom Λειτουργίες Συναρτήσεις Ανάθεσης τιµών στα σήµατα Ορισµός Παραµέτρων στον Waveform Editor Ορισµός του µήκους του grid του εξοµοιωτή Ο προσδιορισµός της τιµής του grid του εξοµοιωτή είναι ιδιαίτερα σηµαντικός για τη δηµιουργία επαναλαµβανόµενων τιµών στις κυµατοµορφές εισόδου. Menu: Options -> Grid Size Menu: Options -> Show Grid Ορισµός του χρόνου διάρκειας της εξοµοίωσης Menu: File -> End Time

40 Εισαγωγή Κόµβων στον Waveform Editor (1) Υπάρχουν δύο τρόποι: Από το SNF αρχείο Menu: Node -> Enter Nodes from SNF To SNF αρχείο παράγεται από το MAX+PLUS II κατά τη συµβολοµετάφραση του κυκλώµατος. Εισαγωγή Κόµβων στον Waveform Editor (2) Επιλογή κόµβου προς κόµβο Menu: Node -> Insert Node ή (double click µέσα στο Waveform παράθυρο)

41 Ανάθεση Κυµατοµορφών στον Waveform Editor Αρχικά επιλέγεται το χρονικό διάστηµα για το οποίο επιθυµούµε νια γίνει η ανάθεση τιµής σε κάποιο σήµα εισόδου του κυκλώµατος. Για τη δηµιουργία κυµατοµορφών ρολογιού: Menu: Edit -> Overwrite -> Clock ή από την αντίστοιχη παλέτα του Waveform Editor. Για τον ορισµό τιµών σε κόµβους: Menu: Edit -> Overwrite -> 0/1/X/Z/Invert/Group Value/Count Value ή από την αντίστοιχη παλέτα του Waveform Editor. Συνοπτικά η ιαδικασία Εισαγωγής Κυκλώµατος Αρχεία Σχεδιασµού Βοηθητικά Αρχεία Χρήστης

42 Μεθοδολογία Σχεδίασης Κυκλωµάτων στο MAX+PLUS II Προδιαγραφές Λειτουργίας Εισαγωγή Κυκλώµατος Συµβολοµετάφραση (Compilation) Αλλαγές στη σχεδίαση Εξοµοίωση Λειτουργίας (Functional Verification) Εξοµοίωση Χρονισµών (Timing Verification) Προγραµµατισµός ιατάξεων (Device Programming) Επιβεβαίωση Λειτουργίας στο Σύστηµα Παραγωγή Συστήµατος Ο MAX+PLUS II Συµβολοµεταφραστής Επεξεργάζεται όλα τα αρχεία σχεδιασµού που περιέχονται σε ένα project. Ελέγχει για συντακτικά και κοινά σχεδιαστικά λάθη. Πραγµατοποιεί: Τη λογική σύνθεση του κυκλώµατος (Synthesis). Την τοποθέτηση των εξαρτηµάτων (Placing). Τη δροµολόγηση των διασυνδέσεων (Routing). Παράγει: Αρχεία που χρησιµοποιούνται για την εξοµοίωση του κυκλώµατος. Αρχεία που χρησιµοποιούνται για την ανάλυση των χρονισµών του κυκλώµατος. Αρχεία που χρησιµοποιούνται για τον προγραµµατισµό της διάταξης.

43 Συµβολοµετάφραση ενός Project Επιλογή µεταξύ µερικής ή πλήρους συµβολοµετάφρασης. Αναθέσεις. Εκτέλεση της διαδικασίας της συµβολοµετάφρασης. Έλεγχος των αποτελεσµάτων. Η ιαδικασία της Μερικούς Συµβολοµετάφρασης Ελέγχεται το project για συντακτικά λάθη. Παράγεται µια βάση δεδοµένων µε όλα τα κοµβικά σηµεία του κυκλώµατος. Παράγεται το.snf αρχείο που χρησιµοποιείται για την εξοµοίωση της λειτουργικής συµπεριφοράς του κυκλώµατος.

44 Η ιαδικασία της Πλήρους Συµβολοµετάφρασης Πραγµατοποιούνται όλες οι διαδικασίες που εκτελούνται κατά τη διάρκεια της µερικούς συµβολοµετάφρασης και επιπλέον γίνεται: H λογική σύνθεση και βελτιστοποίηση του κυκλώµατος. Έλεγχος για παραβιάσεις λειτουργίας. Τοποθέτηση των εξαρτηµάτων και διασυνδέσεις. Υπολογισµός των χρονικών καθυστερήσεων. ηµιουργία του αρχείου προγραµµατισµού της διάταξης. Αναθέσεις Συνήθεις αναθέσεις Επιλογή διάταξης Ανάθεση ακροδεκτών. Άλλες αναθέσεις Αναθέσεις αρχιτεκτονικής Χρονικοί Περιορισµοί. Επιλογή λογικών στοιχείων. Επιλογή χαρακτηριστικών της διάταξης.

45 Επιλογή ιάταξης Επιλογή συγκεκριµένης διάταξης. Αυτόµατη επιλογή Το MAX+PLUS II επιλέγει τη µικρότερη και πιο γρήγορη διάταξη που καλύπτει τις ανάγκες του κυκλώµατος. Επιλογή της Προγ/νης οικογένειας Αυτόµατη επιλογή διάταξης Συγκεκριµένη επιλογή διάταξης Ανάθεση Ακροδεκτών Επιλέξτε τον κόµβο και από το Assign menu -> Pin/Location/Chip To όνοµα του κόµβου εµφανίζεται αυτόµατα στο πεδίο Node Name Eπιλέξτε τον αριθµό και το είδος του ακροδέκτη και στη συνέχεια επιλέξτε Add.

46 Μεθοδολογίες Λογικής Σύνθεσης Κάθε µία από τις διαφορετικές µεθοδολογίες αποτελείται από ένα σύνολο ρυθµίσεων. Οι δυνατές επιλογές στο Max+Plus II είναι Normal Fast WYSIWYG Ανάθεση Χρονικών Περιορισµών Ορισµός της επιθυµητής µέγιστης λειτουργίας του κυκλώµατος. Είναι προτιµότερο οι παράµετροι αυτοί να θέτονται αφού πρώτα παρατηρηθούν τα χαρακτηριστικά της λειτουργίας του κυκλώµατος που έχει προκύψει από συµβολοµετάφραση χωρίς την ύπαρξη χρονικών περιορισµών.

47 Εκτέλεση της ιαδικασίας της Συµβολοµετάφρασης Συµβουλευθείται το report αρχείο (.rpt) που παράγεται Πατήστε το Start Μηνύµατα Συνοπτικά η ιαδικασία της Συµβολοµετάφρασης Αρχεία Σχεδιασµού Αρχεία Εξοµοίωσης & Χρονισµών Αρχεία Προγραµµατισµού Reports Αρχεία για χρήση από άλλα σχεδιαστικά πακέτα

48 Μεθοδολογία Σχεδίασης Κυκλωµάτων στο MAX+PLUS II Προδιαγραφές Λειτουργίας Εισαγωγή Κυκλώµατος Συµβολοµετάφραση (Compilation) Αλλαγές στη σχεδίαση Εξοµοίωση Λειτουργίας (Functional Verification) Εξοµοίωση Χρονισµών (Timing Verification) Προγραµµατισµός ιατάξεων (Device Programming) Επιβεβαίωση Λειτουργίας στο Σύστηµα Παραγωγή Συστήµατος Εξοµοίωση ενός Project Υπάρχουν δύο είδη εξοµοίωσης: Εξοµοίωση της λειτουργίας. Το κύκλωµα δεν έχει υποστεί λογική σύνθεση. εν υπάρχει µοντέλο χρονισµών. Όλοι οι εσωτερικοί κόµβοι του κυκλώµατος µπορούν να εξοµοιωθούν. Εξοµοίωση των χρονισµών. Το κύκλωµα έχει υποστεί λογική σύνθεση. Υπάρχει µοντέλο χρονισµών. Μπορούν να εξοµοιωθούν µόνο οι κόµβοι που αποµένουν µετά τη σύνθεση και τη βελτιστοποίηση του κυκλώµατος.

49 To Περιβάλλον του Εξοµοιωτή ιαδικασία Εξοµοίωσης ενός Project Άνοιγµα τoυ Waveform Editor. Εισαγωγή Κόµβων. Ορισµός του grid του εξοµοιωτή. Επιλογή του χρονικού διαστήµατος όπου θα εκτελεστεί η εξοµοίωση Ανάθεση κυµατοµορφών στα σήµατα εισόδου του κυκλώµατος. Αποθήκευση του.scf αρχείου. Εκτέλεση της εξοµοίωσης. Menu: Max+Plus II -> Simulator Click on Start.. Έλεγχος των αποτελεσµάτων της εξοµοίωσης.

50 Μεθοδολογία Σχεδίασης Κυκλωµάτων στο MAX+PLUS II Προδιαγραφές Λειτουργίας Εισαγωγή Κυκλώµατος Συµβολοµετάφραση (Compilation) Αλλαγές στη σχεδίαση Εξοµοίωση Λειτουργίας (Functional Verification) Εξοµοίωση Χρονισµών (Timing Verification) Προγραµµατισµός ιατάξεων (Device Programming) Επιβεβαίωση Λειτουργίας στο Σύστηµα Παραγωγή Συστήµατος Ανάλυση των Χρονισµών ενός Project Υπάρχουν τρία διαφορετικά είδη ανάλυσης χρονισµών. Υπολογισµός της απόδοσης των καταχωρητών. Υπολογίζει τη µέγιστη συχνότητα καλής λειτουργίας του κυκλώµατος. Υπολογισµός του πίνακα των καθυστερήσεων. Υπολογίζει όλες τις καθυστερήσεις των συνδιαστικών κόµβων του κυκλώµατος. Υπολογισµός του πίνακα των setup/hold χρόνων για τα flip flop. Υπολογίζει για όλα flip flop που περιέχονται στο κύκλωµα του setup και hold χρόνους τους.

51 Υπολογισµός της Απόδοσης των Καταχωρητών Χρησιµοποιείται για να επιβεβαιωθεί ότι το κύκλωµα που σχεδιάσθηκε πληροί του χρονικούς περιορισµούς που έχουν υποβληθεί. Στην περίπτωση που δεν επιτυγχάνεται η επιθυµητή συχνότητα λειτουργίας τότε: Ανίχνευση του λογικού µονοπατιού µε τη µεγαλύτερη καθυστέρηση. Εισαγωγή αναθέσεων και χρονικών περιορισµών για τη βελτιστοποίηση των χρονισµών. Επανασχεδίαση του κυκλώµατος (πχ. Χρήση pipelining τεχνικών...) Εκτέλεση του υπολογισµού απόδοσης των καταχωρητών: Menu: Max+Plus II -> Timing Analyzer Click on Start.. Μεθοδολογία Σχεδίασης Κυκλωµάτων στο MAX+PLUS II Προδιαγραφές Λειτουργίας Εισαγωγή Κυκλώµατος Συµβολοµετάφραση (Compilation) Αλλαγές στη σχεδίαση Εξοµοίωση Λειτουργίας (Functional Verification) Εξοµοίωση Χρονισµών (Timing Verification) Προγραµµατισµός ιατάξεων (Device Programming) Επιβεβαίωση Λειτουργίας στο Σύστηµα Παραγωγή Συστήµατος

52 Μεθοδολογίες Προγραµµατισµού ιατάξεων Ο MAX+PLUS II Προγραµµατιστής Χρησιµοποιείται για να προγραµµατίσει τις διατάξεις της Altera. Κατά την ολοκλήρωση των διαδικασιών του συµβολοµεταφραστή παράγονται ένα ή περισσότερα αρχεία προγραµµατισµού. Με το συγκεκριµένο προγραµµατιστή γίνεται η διαµόρφωση της λειτουργίας και ο έλεγχος της διάταξης και η διαπίστωση της σωστής διαδικασίας προγραµµατισµού.

53 Προγραµµατισµός ιατάξεων Εγκατάσταση και επιλογή της κατάλληλης προγραµµατιστικής µονάδας Menu: Options -> Hardware Setup ->AutoSetup Επιλογή του αρχείου προγραµµατισµού. Menu: File -> Select Programming File

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Φεβ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 3 -i: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Περίληψη Αρχές σχεδιασµού Ιεραρχία σχεδιασµού Σχεδιασµός

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21 Περιεχόµενα Πρόλογος 11 Σκοπός αυτού του βιβλίου 11 Σε ποιους απευθύνεται αυτό το βιβλίο 12 Βασικά χαρακτηριστικά του βιβλίου 12 Κάλυψη συστηµάτων CAD 14 Εργαστηριακή υποστήριξη 14 Συνοπτική παρουσίαση

Διαβάστε περισσότερα

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2007 Εισαγωγή Προπαρασκευαστική Άσκηση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Max +Plus II Στο εργαστήριο ΗΜΥ

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Η γλώσσα περιγραφής υλικού (harware description language) VHDL είναι μια γλώσσα με την οποία μπορεί

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων

8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων 8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων Εισαγωγή Η λογική που περιγράφεται σε ένα module µπορεί να περιγραφεί µε διάφορα στυλ Μοντελοποίηση σε επίπεδο

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

VERILOG. Γενικά περί γλώσσας

VERILOG. Γενικά περί γλώσσας VERILOG Γενικά περί γλώσσας Χρησιµότητα της Verilog Υψηλού επιπέδου περιγραφή της συµπεριφοράς του συστήµατος µε σκοπό την εξοµοίωση. RTL περιγραφή της λειτουργίας του συστήµατος µε σκοπό τη σύνθεσή του

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού. Περιεχόµενα ΚΕΦΑΛΑΙΟ 3 Συνδυαστικά Κυκλώµατα 3.1 Συνδυαστικά Κυκλώµατα 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού 1 2 3.1 Συνδυαστικά Κυκλώµατα Έξοδος οποιαδήποτε

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ Σκοπός της δεύτερης άσκησης είναι αφενός η επανάληψη απαραίτητων γνώσεων από την ύλη του προηγούμενου εξαμήνου και αφετέρου η άμεση εισαγωγή στην υλοποίηση

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 12: Ανάλυση Ακολουθιακών Κυκλωµάτων (Κεφάλαιο 6.2) Μηχανές Καταστάσεων ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy)

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Ακολουθιακή λογική, καταχωρητές και flip-flops Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού 7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού Εισαγωγή Η χειρονακτική σχεδίαση ενός ψηφιακού συστήµατος είναι εξαιρετικά δύσκολη και επιρρεπής σε λάθη Συστήµατα που ξεπερνούς τις µερικές

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 6 η :

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 28 Νοε-8 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Ανάλυση Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Καθιερωµένα Γραφικά Σύµβολα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Καθιερωµένα Γραφικά Σύµβολα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005 ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Απρ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 6 ii: Ανάλυση Ακολουθιακών Κυκλωµάτων Περίληψη Καθιερωµένα Γραφικά Σύµβολα Χαρακτηριστικοί Πίνακες

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy)

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 3 η :

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2007 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΕΡΓΑΣΤΗΡΙΑ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ Μάθημα 5: Στοιχεία µνήµης ενός ψηφίου Διδάσκων: Καθηγητής Ν. Φακωτάκης Στοιχεία μνήμης Ένα ψηφιακό λογικό κύκλωμα

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ ΜΕΡΟΣ ΠΡΩΤΟ

ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ ΜΕΡΟΣ ΠΡΩΤΟ ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ ΠΡΟΛΟΓΟΣ...17 ΕΙΣΑΓΩΓΗ...19 ΜΕΡΟΣ ΠΡΩΤΟ ΚΕΦΑΛΑΙΟ ΠΡΩΤΟ ΕΙΣΑΓΩΓΗ ΣΤΗ ΜΕΘΟ ΟΛΟΓΙΑ ΣΧΕ ΙΑΣΗΣ 1.1 Μεθοδολογία σχεδίασης...25 1.2 Η διαδικασία της σχεδίασης...26 1.3 ηµιουργικότητα στη

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Μονάδες Μνήμης και Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Μονάδες Μνήμης - Προγραμματιζόμενη Λογική Μια μονάδα μνήμης είναι ένα

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών n VHDL Processes Περίληψη n Εντολές If-Then-Else και CASE

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

ς Ποιότητα ξιολόγηση Α

ς Ποιότητα ξιολόγηση Α Αξιολόγηση Ποιότητας Μέτρα Αξιολόγησης Τα µέτρα αξιολόγησης είναι απαραίτητα κατά την διαδικασία της σύνθεσης. Τα ακριβή µέτρα καθορίζουν την ποιότητα του τελικού κυκλώµατος και εντοπίζουν προβλήµατα.

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Ανάλυση Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Ανάλυση Ακολουθιακών Κυκλωμάτων Ανάλυση: Ο καθορισμός μιας κατάλληλης περιγραφής η οποία επιδεικνύει

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Προετοιµασία: Παπαδόπουλος Γιώργος Σούρδης Γιάννης Για το µάθηµα Οργάνωσης Υπολογιστών (ΑΡΥ301), 2002 ΕΙΣΑΓΩΓΗ ΣΤΗ STRUCTURAL VHDL Η VHDL είναι

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 6 η :

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΚΗΣΗ ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Στόχος της άσκησης: Η διαδικασία σχεδίασης σύγχρονων ακολουθιακών κυκλωμάτων. Χαρακτηριστικό παράδειγμα σύγχρονων ακολουθιακών κυκλωμάτων είναι οι σύγχρονοι μετρητές. Τις αδυναμίες

Διαβάστε περισσότερα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2010 Εισαγωγή Προπαρασκευαστική παρουσίαση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Quartus II Στο εργαστήριο

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΗΛΕΚΤΡΟΝΙΚΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΟΜΑ Α Α Αριθµητική Λογική Μονάδα των 8-bit 1. Εισαγωγή Γενικά µια αριθµητική λογική µονάδα (ALU, Arithmetic Logic Unit)

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 27 Νοε-7 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 27 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα:

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2006-2007 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Εισαγωγή στα Συστήματα Ολοκληρωμένων Κυκλωμάτων Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής http://diceslab.cied.teiwest.gr E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Περίληψη VHDL Processes Εντολές If-Then Then-Else και CASE Περιγραφή Flip-Flop Flop με VHDL

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ, Θεωρητικής Κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Πλήρης Αθροιστής, Αποκωδικοποιητής και Πολυπλέκτης ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Λύσεις

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS

ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS Αντικείμενο της άσκησης: Η σχεδίαση και λειτουργία συστημάτων προσωρινής αποθήκευσης (Kαταχωρητές- Registers). Για την αποθήκευση μιας πληροφορίας του ενός ψηφίου (bit)

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 5 η :

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 11:

Ψηφιακή Σχεδίαση Ενότητα 11: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 11: Μνήμη και Προγραμματίσιμη Λογική Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops και Μετρητές Ριπής Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων Ψηφιακή Σχεδίαση Κεφάλαιο 5: Σύγχρονη Ακολουθιακή Λογική Σύγχρονα Ακολουθιακά Κυκλώµατα Είσοδοι Συνδυαστικό κύκλωµα

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Τα βασικά της

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 4 η :

Διαβάστε περισσότερα

Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα

Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 1 ΕΙΣΑΓΩΓΗ ΣΤΙΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΜΕΝΕΣ ΔΙΑΤΑΞΕΙΣ ΠΥΛΩΝ ( FPGAS )

ΚΕΦΑΛΑΙΟ 1 ΕΙΣΑΓΩΓΗ ΣΤΙΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΜΕΝΕΣ ΔΙΑΤΑΞΕΙΣ ΠΥΛΩΝ ( FPGAS ) ΚΕΦΑΛΑΙΟ 1 ΕΙΣΑΓΩΓΗ ΣΤΙΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΜΕΝΕΣ ΔΙΑΤΑΞΕΙΣ ΠΥΛΩΝ FPGAS 1.1 Εισαγωγή Οι προγραμματιζόμενες λογικές συσκευές PLDs είναι ψηφιακά ολοκληρωμένα κυκλώματα ICs, διαμορφούμενα από τον χρήστη που χρησιμοποιούνται

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΑΣΚΗΣΗ 7 FLIP - FLOP ΑΣΚΗΣΗ 7 FLIP - FLOP Αντικείμενο της άσκησης: Η κατανόηση της δομής και λειτουργίας των Flip Flop. Flip - Flop Τα Flip Flop είναι δισταθή λογικά κυκλώματα με χαρακτηριστικά μνήμης και είναι τα πλέον βασικά

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν. ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 ii: Μετρητές Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Μετρητής Ριπής Περίληψη Σύγχρονος υαδικός Μετρητής Σχεδιασµός µε Flip-Flops

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα 1. Στόχοι 3

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Συστήματα Μικροεπεξεργαστών

Συστήματα Μικροεπεξεργαστών Εργαστήριο 1 ο Εισαγωγή στον AVR Περίγραμμα Εργαστηριακής Άσκησης Εισαγωγή... 2 Κατηγορίες μικροελεγκτών AVR... 2 Εξοικείωση με το περιβάλλον AVR Studio 4... 3 Βήμα 1ο: Δημιουργία νέου έργου (project)...

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

Καταστάσεων. Καταστάσεων

Καταστάσεων. Καταστάσεων 8 η Θεµατική Ενότητα : Εισαγωγή Ησχεδίαση ενός ψηφιακού συστήµατος µπορεί να διαιρεθεί σε δύο µέρη: τα κυκλώµατα επεξεργασίας δεδοµένων και τα κυκλώµατα ελέγχου. Το κύκλωµα ελέγχου δηµιουργεί σήµατα για

Διαβάστε περισσότερα

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Εισαγωγή στη VHDL Υλοποίηση στο Quartus ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκοντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών

Διαβάστε περισσότερα

ΠΑΡΑΡΤΗΜΑ Β. Verification

ΠΑΡΑΡΤΗΜΑ Β. Verification ΠΑΡΑΡΤΗΜΑ Β Ροή Σχεδίασης και VHDL Ροή Σχεδίασης Πριν περάσουµε σε περιγραφή της γλώσσας VHDL είναι χρήσιµο να δούµε το περιβάλλον και τη ροή της σχεδίασης. Τα βήµατα µιας σχεδίασης βασισµένης σε VHDL

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα

Αρχιτεκτονικές Υπολογιστών

Αρχιτεκτονικές Υπολογιστών ΑΡΧΙΤΕΚΤΟΝΙΚΕΣ ΥΠΟΛΟΓΙΣΤΩΝ Μάθηµα: Αρχιτεκτονικές Υπολογιστών FLIP-FLOPS ΣΥΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΙΑ ΙΚΑΣΙΑ ΑΝΑΛΥΣΗΣ ΚΑΙ ΣΧΕ ΙΑΣΗ ΣΑΚ ιδάσκων: Αναπλ. Καθ. Κ. Λαµπρινουδάκης clam@uipi.gr Αρχιτεκτονικές

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Γιώργος Ζάγγουλοςκαι Δρ. Παναγιώτα Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE

2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE 2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE Για την εκκίνηση του πακέτου εξοµοίωσης PSpice 9.1. (Student Version) είναι απαραίτητη η εκτέλεση του αρχείου Capture.exe. Αυτό κατά κανόνα βρίσκεται στο φάκελο όπου είναι εγκατεστηµένο

Διαβάστε περισσότερα

Μνήμη και Προγραμματίσιμη Λογική

Μνήμη και Προγραμματίσιμη Λογική Μνήμη και Προγραμματίσιμη Λογική Η μονάδα μνήμης είναι ένα στοιχείο κυκλώματος στο οποίο μεταφέρονται ψηφιακές πληροφορίες προς αποθήκευση και από το οποίο μπορούμε να εξάγουμε αποθηκευμένες πληροφορίες

Διαβάστε περισσότερα