Ψηφιακή Σχεδίαση. M. Morris Mano. Michael D. Ciletti ΤΕΤΑΡΤΗ ΕΚ ΟΣΗ

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Ψηφιακή Σχεδίαση. M. Morris Mano. Michael D. Ciletti ΤΕΤΑΡΤΗ ΕΚ ΟΣΗ"

Transcript

1 Ψηφιακή Σχεδίαση ΤΕΤΑΡΤΗ ΕΚ ΟΣΗ M. Morris Mano Ομότιμος Καθηγητής Μηχανικής Υπολογιστών Πανεπιστήμιο της Πολιτείας της Καλιφόρνια, Λος Άντζελες Michael D. Ciletti Τμήμα Σχεδίασης Ηλεκτρικών και Υπολογιστικών Συστημάτων Πανεπιστήμιο του Κολοράντο, Κολοράντο Σπρινγκς ΜΕΤΑΦΡΑΣΗ ΕΛΠΙ Α ΚΟΥΤΣΟΚΕΡΑ Mηχανικός Λογισμικού ΕΠΙΣΤΗΜΟΝΙΚΗ ΕΠΙΜΕΛΕΙΑ ρ. ΚΩΣΤΑΣ ΠΑΠΑΟ ΥΣΣΕΥΣ Αν. Καθηγητής, Σχολή Η.Μ.Μ.Υ. Ε.Μ.Π. ρ. ΗΛΙΑΣ ΚΟΥΚΟΥΤΣΗΣ Επ. Καθηγητής, Σχολή Η.Μ.Μ.Υ. Ε.Μ.Π. ρ. ΜΙΧΑΛΗΣ ΠΑΝΑΓΟΠΟΥΛΟΣ Σχολή Η.Μ.Μ.Υ. Ε.Μ.Π. ρ. ΠΑΝΑΓΙΩΤΗΣ ΡΟΥΣΟΠΟΥΛΟΣ Σχολή Η.Μ.Μ.Υ. Ε.Μ.Π. ΑΘΗΝΑ 2010

2 Περιεχόμενα Πρόλογος ix 1 Ψηφιακά συστήματα και δυαδικοί αριθμοί Ψηφιακά συστήματα υαδικοί αριθμοί Μετατροπές αριθμών σε συστήματα με άλλη βάση Οκταδικοί και δεκαεξαδικοί αριθμοί Συμπληρώματα Προσημασμένοι δυαδικοί αριθμοί υαδικοί κώδικες υαδική αποθήκευση και καταχωρητές υαδική λογική 28 2 Άλγεβρα Boole και λογικές πύλες Εισαγωγή Βασικοί ορισμοί Αξιωματικός ορισμός της άλγεβρας boole Βασικά θεωρήματα και ιδιότητες της άλγεβρας boole Συναρτήσεις boole Κανονικές και πρότυπες μορφές Άλλες λογικές πράξεις Ψηφιακές λογικές πύλες Ολοκληρωμένα κυκλώματα 63 3 Ελαχιστοποίηση σε επίπεδο πυλών Εισαγωγή Η μέθοδος του χάρτη Χάρτης τεσσάρων μεταβλητών Χάρτης πέντε μεταβλητών Απλοποίηση γινομένου αθροισμάτων Συνθήκες αδιαφόρου τιμής (Ή συνθήκες αδιαφορίας) 86

3 vi Ψηφιακή Σχεδίαση 3.7 Υλοποίηση με πύλες NAND και NOR Άλλες υλοποιήσεις δύο επιπέδων Συνάρτηση Αποκλειστικού-OR Γλώσσα περιγραφής υλικού Συνδυαστική λογική Εισαγωγή Συνδυαστικά κυκλώματα ιαδικασία ανάλυσης ιαδικασία σχεδίασης υαδικός αθροιστής αφαιρέτης εκαδικός αθροιστής υαδικός πολλαπλασιαστής Συγκριτής μεγέθους Αποκωδικοποιητές Κωδικοποιητές Πολυπλέκτες Μοντέλα HDL συνδυαστικών κυκλωμάτων Σύγχρονη ακολουθιακή λογική Εισαγωγή Ακολουθιακά κυκλώματα Στοιχεία μνήμης: μανδαλωτές Στοιχεία μνήμης: φλιπ-φλοπ Ανάλυση ακολουθιακών κυκλωμάτων με ρολόι Συνθέσιμα μοντέλα HDL ακολουθιακών κυκλωμάτων Ελαχιστοποίηση και κωδικοποίηση καταστάσεων ιαδικασία σχεδίασης Καταχωρητές και Μετρητές Καταχωρητές Καταχωρητές ολίσθησης Μετρητές ριπής Σύγχρονοι μετρητές Άλλοι μετρητές Χρήση HDL για την περιγραφή καταχωρητών και μετρητών Mνήμη και προγραμματίσιμη λογική Εισαγωγή Μνήμη τυχαίας προσπέλασης (Random Access Memory) Αποκωδικοποίηση μνήμης 291

4 Περιεχόμενα vii 7.4 Ανίχνευση και διόρθωση λαθών Μνήμη ανάγνωσης-μόνο PLA Programmable Logic Array PAL Programmable Array Logic Ακολουθιακές PLD Σχεδίαση στο επίπεδο μεταφοράς περιεχομένων καταχωρητών Εισαγωγή Ορολογία σχετική με τη σχεδίαση στο επίπεδο μεταφοράς περιεχομένων καταχωρητών (Register Transfer Level ή RTL) Επίπεδο μεταφοράς περιεχομένων καταχωρητών στην HDL Αλγοριθμικές μηχανές καταστάσεων (ASM) Παράδειγμα σχεδίασης Περιγραφή του κυκλώματος του παραδείγματος σχεδίασης με χρήση HDL Ακολουθιακός δυαδικός πολλαπλασιαστής Λογικό κύκλωμα ελέγχου Περιγραφή HDL δυαδικού πολλαπλασιαστή Σχεδιασμός με πολυπλέκτες Σχεδιασμός χωρίς κυνηγητά Σχεδιασμός χωρίς μανδαλωτές Άλλα χαρακτηριστικά της γλώσσας Verilog Aσύγχρονη Ακολουθιακή Λογική Εισαγωγή ιαδικασία ανάλυσης Κυκλώματα με μανδαλωτές ιαδικασία σχεδιασμού Ελαχιστοποίηση των πινάκων κατάστασης και ροής Κωδικοποίηση καταστάσεων για αποφυγή κυνηγητών Σπινθήρες Σχεδιαστικό παράδειγμα Ψηφιακά ολοκληρωμένα κυκλώματα Εισαγωγή Ειδικά χαρακτηριστικά Χαρακτηριστικά διπολικών τρανζίστορ Οικογένειες ψηφιακής λογικής RTL και DTL Οικογενεια ψηφιακής λογικής TTL (λογικής τρανζίστορ τρανζίστορ) Οικογένεια ψηφιακής λογικής ECL (λογικής σύζευξης εκπομπού) Οικογένεια ψηφιακής λογικής MOS (μετάλλου-οξειδίου-ημιαγωγού) 495

5 viii Ψηφιακή Σχεδίαση 10.8 Οικογένεια ψηφιακής λογικής CMOS (συμπληρωματικών MOS) Πύλες μετάδοσης CMOS Μοντελοποίηση σε επίπεδο διακοπτών με χρήση HDL Εργαστηριακά πειράματα Εισαγωγή στα πειράματα υαδικοί και δεκαδικοί αριθμοί Ψηφιακές λογικές πύλες Απλοποίηση λογικών συναρτήσεων Συνδυαστικά κυκλώματα Μετατροπείς κώδικα Σχεδιασμός με πολυπλέκτες Αθροιστές και Αφαιρέτες Φλιπ-φλοπ Ακολουθιακά κυκλώματα Μετρητές Καταχωρητές ολίσθησης Σειραϊκή πρόσθεση Μονάδα μνήμης Ηλεκτρονικό παιχνίδι Παλμογεννήτρια ρολογιού Παράλληλος αθροιστής υαδικός πολλαπλασιαστής Ασύγχρονα ακολουθιακά κυκλώματα Πειράματα προσομοίωσης στη Verilog HDL και ταχεία τυποποίηση με FPGA Πρότυπα σχηματικά σύμβολα Ορθογώνια Παραλληλόγραμμα Σύμβολα Χαρακτηριστικά σύμβολα Σημειογραφία εξάρτησης Σύμβολα για συνδυαστικά στοιχεία Σύμβολα για φλιπ-φλοπ Σύμβολα για καταχωρητές Σύμβολα για μετρητές Σύμβολα για RAM 575 Απαντήσεις σε Επιλεγμένα Προβλήματα 577 Ευρετήριο 597

6 Πρόλογος Τα ψηφιακά ηλεκτρονικά κυκλώματα αποτελούν τον λειτουργικό πυρήνα κινητών τηλεφώνων, συσκευών αναπαραγωγής MPEG, ψηφιακών φωτογραφικών μηχανών, υπολογιστών, διακομιστών δεδομένων (data servers), προσωπικών ψηφιακών συσκευών, συστημάτων πλοήγησης με δορυφορική βοήθεια (GPS navigation systems), καθώς άλλων από ένα ιδιαίτερα μεγάλο αριθμό εμπορικών προϊόντων που χρησιμοποιούν και επεξεργάζονται πληροφορίες σε ψηφιακή μορφή. Το παρόν βιβλίο παρουσιάζει τη βασική γνώση για τα ψηφιακά κυκλώματα και τις θεμελιώδεις έννοιες και διαδικασίες που είναι αναγκαίες για το σχεδιασμό των κυκλωμάτων αυτών. Μπορεί να χρησιμοποιηθεί ως σύγγραμμα για ένα εισαγωγικό μάθημα που χρειάζονται οι φοιτητές, οι οποίοι σκοπεύουν να γίνουν ηλεκτρολόγοι μηχανικοί ή επιστήμονες και μηχανικοί υπολογιστών. Σχεδόν κάθε προχωρημένη πρακτική της βιομηχανίας στηρίζεται πάνω σε γνώση που περιλαμβάνεται στο γνωστικό πεδίο των επιστημόνων μηχανικών. Από τα μέσα της δεκαετίας του 1980, η χρήση εργαλείων σχεδιασμού που βασίζονται στους υπολογιστές έχει μεταμορφώσει την βιομηχανία ηλεκτρονικών σε όλο τον κόσμο. Τα εξειδικευμένα ολοκληρωμένα κυκλώματα για συγκεκριμένες εφαρμογές (ASIC) σχεδιάζονται σήμερα με την χρήση γλωσσών περιγραφής υλικού (HDL), όπως είναι η Verilog και η VHDL. Με μια HDL περιγράφεται, συνήθως, ένα μοντέλο συμπεριφοράς του κυκλώματος προς σχεδιασμό και υλοποίηση, δηλαδή μια τυπική (formal) περιγραφή των επιθυμητών λειτουργιών του κυκλώματος. Στη συνέχεια, ειδικά εργαλεία σύνθεσης (προγράμματα υπολογιστή που συνθέτουν την ηλεκτρονική δομή του επιθυμητού κυκλώματος από την λειτουργική περιγραφή του) χρησιμοποιούνται για την υλοποίηση αυτής της περιγραφής με χρήση συγκεκριμένων τεχνολογικών δυνατοτήτων, π.χ., με χρήση ολοκληρωμένων κυκλωμάτων τύπου CMOS ή έτοιμων διατάξεων πυλών που προγραμματίζονται από τον χρήστη (FPGA). Η χρήση εργαλείων σχεδίασης είναι πλέον συνηθισμένη στη βιομηχανία. Ακόμη και στην πανεπιστημιακή εκπαίδευση, όμως, τα εργαλεία αυτά έχουν αρχίσει να περνάνε από τη μεταπτυχιακή διδασκαλία και έρευνα στην προπτυχιακή διδασκαλία. Είναι πλέον φανερό ότι οι γλώσσες περιγραφής υλικού παίζουν ουσιαστικό και σημαντικό ρόλο στην εκπαίδευση των μελλοντικών μηχανικών. Η εκπαίδευση στην σχεδίαση με HDL είναι σήμερα τόσο σημαντική, όσο ήταν σε προηγούμενες γενιές μηχανικών η εκμάθηση της χρήσης των παλμογράφων, των δοκιμαστικών βάσεων ηλεκτρονικών κυκλωμάτων (breadboards) και των λογικών αναλυτών. Για τον λόγο αυτό, στην παρούσα έκδοση του βιβλίου δίνεται ακόμη μεγαλύτερη έμφαση στη χρήση γλωσσών περιγραφής υλικού για τον σχεδιασμό ψηφιακών κυκλωμάτων. Σημειώνουμε ότι, με την εισαγωγή μιας γλώσσας HDL σε ένα εισαγωγικό μάθημα σχεδίασης ψη - φιακών κυκλωμάτων, δεν έχουμε σκοπό ούτε να αντικαταστήσουμε την κατανόηση των θεμελιωδών αρχών λειτουργίας των ψηφιακών κυκλωμάτων και των βασικών μεθοδολογιών σχεδίασης με την απλή χρήση αυτοματοποιημένων μεθόδων σχεδίασης, ούτε να καταργήσουμε την μελέτη των μεθόδων σχεδίασης που δεν βασίζονται σε υπολογιστικά εργαλεία. Πιστεύουμε ότι εξακολουθεί να είναι ιδιαίτερα σημαντική η ουσιαστική κατανόηση από τους φοιτητές της λειτουργίας των ψηφιακών κυκλωμάτων. Έτσι, στο παρόν βιβλίο, διατηρούμε την αναλυτική παρουσίαση και εξέταση των συνδυαστικών και ακολουθιακών λογικών συσκευών. Οι μέθοδοι σχεδίασης χωρίς τη βοήθεια υπολογιστή παρουσιάζονται κανονικά και τα αποτελέσματά τους συγκρίνονται με αυτά που παίρνουμε αν χρησιμοποιήσουμε HDL. Ωστόσο, έχουμε δώσει μεγαλύτερη έμφαση στο πως σχεδιάζεται το υλικό (hardware), γιατί πιστεύουμε ότι με τον τρόπο αυτό προετοιμάζεται καλύτερα ο σπουδαστής για μια ix

7 x Ψηφιακή Σχεδίαση πιθανή σταδιοδρομία στην σχετική βιομηχανία, δεδομένου ότι εκεί, πλέον, έχει πλήρως επικρατήσει η πρακτική σχεδιασμού ψηφιακών συστημάτων με την χρήση HDL. ΕΥΕΛΙΞΙΑ Η θεματική ύλη που πραγματεύεται το ανά χείρας βιβλίο είναι κατάλληλη για μαθήματα που παρουσιάζουν την κλασική, χωρίς την βοήθεια υπολογιστή, μεθοδολογία ψηφιακής σχεδίασης, μαθημάτων που παρουσιάζουν τη μεθοδολογία σχεδίασης με χρήση HDL και μαθημάτων που συνδυάζουν τις δύο προηγούμενες προσεγγίσεις. εδομένου ότι τα σύγχρονα εργαλεία σύνθεσης εκτελούν λογική ελαχιστοποίηση με τρόπο αυτόματο, τα θεωρητικά θέματα που είναι σχετικά με τη λογική ελαχιστοποίηση και τους χάρτες Καρνώ μπορούν να παρουσιαστούν είτε στην αρχή της μελέτης των ψηφιακών κυκλωμάτων, είτε μετά από την παρουσίαση του τρόπου ανάλυσης, σχεδιασμού και προσομοίωσης των ψηφιακών κυκλωμάτων και των σχετικών εφαρμογών με την χρήση HDL. Το βιβλίο περιλαμβάνει κατάλληλα παραδείγματα τόσο της κλασσικής, χωρίς την βοήθεια υπολογιστή, σχεδίασης όσο και της σχεδίασης με τη χρήση HDL. Οι ενότητες προβλημάτων που δίνονται στο τέλος κάθε κεφαλαίου συμβάλουν ακόμα περισσότερο στην ευελιξία του τρόπου διδασκαλίας, δεδομένου ότι περιέχουν αλληλοαναφερόμενα προβλήματα που αφορούν στη σχεδίαση των ίδιων κυκλωμάτων και με τις δύο προαναφερθείσες μεθοδολογίες. Επί πλέον δε, διασυνδέουμε τις δύο προσεγγίσεις στην παρουσίαση παραδειγμάτων σχολιασμένων αποτελεσμάτων προσομοιώσεων, τα οποία δίνονται ως απαντήσεις σε επιλεγμένα προβλήματα που παραθέτουμε στο τέλος του βιβλίου και στο εγχειρίδιο λύσεων των προβλημάτων. ΝΕΑ ΤΜΗΜΑΤΑ ΥΛΗΣ Στην προηγούμενη ήδη έκδοση του βιβλίου είχε τονιστεί η σημασία των γλωσσών περιγραφής υλικού στο σχεδιασμό ψηφιακών κυκλωμάτων και είχε συμπεριληφθεί νέα σχετική ύλη και παραδείγματα στη γλώσσα Verilog, όπως αυτή ορίζεται στο πρότυπο IEEE Στην παρούσα έκδοση έχει γίνει ενημέρωση και επέκταση της σχετικής ύλης ως εξής: Έχει γίνει αναθεώρηση των παραδειγμάτων HDL, ώστε να ακολουθούνται οι συντακτικοί κανόνες τύπου ANSI-C που υιοθετήθηκαν στα πρότυπα IEEE και IEEE Έχει εξασφαλιστεί ότι σε όλα τα παραδείγματα HDL ακολουθείται η βιομηχανική πρακτική που αφορά στη μοντελοποίηση ψηφιακών κυκλωμάτων. ίνεται μια συστηματική μεθοδολογία σχεδίασης ελεγκτών διαδρόμων δεδομένων. ίνονται επιλεγμένες ασκήσεις και λύσεις σε επιλεγμένα προβλήματα στο τέλος κάθε κεφαλαίου σε Verilog 1995 και Verilog 2001/2005. Παρουσιάζεται μια σημαντική μεθοδολογία σχεδιασμού, το ιάγραμμα Αλγοριθμικής Μηχανής Καταστάσεων και ιαδρόμου εδομένων (διαγράμματος ASMD). Έχουν αναθεωρηθεί τα προβλήματα που δίνονται στο τέλος κάθε κεφαλαίου και έχουν προστεθεί περισσότερα από 75 νέα προβλήματα. ίνονται στους σπουδαστές πλήρεις απαντήσεις σε επιλεγμένα προβλήματα, κάποιες από τις οποίες συμπεριλαμβάνουν και αποτελέσματα προσομοίωσης. ίνεται στους σπουδαστές ένα CD-ROM που περιέχει προγράμματα προσομοίωσης σε HDL, ως απαντήσεις σε επιλεγμένα προβλήματα του βιβλίου. Έχει επεκταθεί η μελέτης των προγραμματίσιμων λογικών συσκευών (των FPGA συμπεριλαμβανομένων). Έχει αναθεωρηθεί η ύλη του εγχειριδίου λύσεων και το υλικό που παρέχεται μέσω του ιαδικτύου, και έχει εξασφαλιστεί ότι οι λύσεις των ασκήσεων HDL συμφωνούν με την βιομηχανική πρακτική που έχει καθιερωθεί για τη μοντελοποίηση κυκλωμάτων με την χρήση HDL.

8 Πρόλογος xi Έχει δοθεί έμφαση στη σημασία της ανάπτυξης σχεδίων δοκιμών (test plans) για την επαλήθευση της ορθής μοντελοποίησης κυκλωμάτων με την χρήση HDL. ίνεται στους καθηγητές ελεγμένης ορθότητας, έτοιμος για προσομοίωση, πηγαίος κώδικας HDL (μοντέλων και παραγωγής δοκιμαστικών εισόδων) για την λύση όλων των σχετικών προβλημάτων που δίνονται στο τέλος κάθε κεφαλαίου. Έχουν συμπεριληφθεί όλα τα σχήματα, οι πίνακες και τα παραδείγματα HDL του βιβλίου στην ιστοσελίδα του εκδότη σε ψηφιακή μορφή (PDF). Έχει συμπεριληφθεί στο βιβλίο ένα CD-ROM με βοηθήματα και προσομοιωτές της γλώσσας Verilog (κατά τα πρότυπα IEEE-1995 και IEEE-2001). Επί πλέον δε, έχει επεκταθεί η χρήση γραφικού υλικού, ώστε να βοηθηθούν περισσότερο οι σπουδαστές που προτιμούν τις γραφικές απεικονίσεις. Στο νέο υλικό εξηγούνται καθαρά τα παραδείγματα προσομοιώσεων και δίνονται κατάλληλα σχολιασμένα γραφικά αποτελέσματα αυτών, ώστε να μπορέσουν οι σπουδαστές να καταλάβουν καλύτερα τα ψηφιακά κυκλώματα και, γενικότερα, να διευκολυνθεί η διδακτική διδασκαλία. Επίσης, έχει βελτιωθεί η γραφική παρουσίαση των χαρτών Καρνώ. ΜΕΘΟ ΟΛΟΓΙΑ ΣΧΕ ΙΑΣΗΣ Σε αυτή την έκδοση του βιβλίου επεκτείναμε, σε σχέση με την προηγούμενη έκδοση, το κεφάλαιο που ασχολείται με τις σύγχρονες μηχανές πεπερασμένων καταστάσεων (synchronous finite state machines), με την παρουσίαση μιας σύγχρονης μεθοδολογίας σχεδίασης της μηχανής καταστάσεων που ελέγχει τον διάδρομο δεδομένων (datapath) ενός ψηφιακού συστήματος. Επιπλέον δε, στην περιγραφόμενη μεθοδολογία αντιμετωπίζεται η ρεαλιστική περίπτωση, κατά την οποία ο ελεγκτής χρησιμοποιεί σήματα από τον διάδρομο δεδομένων, δηλαδή, η περίπτωση που στο σύστημα χρησιμοποιείται ανάδραση. Η μεθοδολογία αυτή μπορεί να εφαρμοστεί τόσο στη σχεδίαση χωρίς τη βοήθεια υπολογιστή, όσο και στη σχεδίαση με την χρήση HDL. ΣΧΕ ΙΑΣΗ ΜΕ ΤΗΝ ΧΡΗΣΗ HDL Στο παρόν βιβλίο παρουσιάζουμε μόνον αυτά τα στοιχεία της γλώσσας Verilog, τα οποία είναι κατάλληλα για το επίπεδο και το σκοπό του βιβλίου. Γενικά, η απλή εξέταση του συντακτικού μιας γλώσσας HDL δεν αρκεί για ένα εισαγωγικό μάθημα στις γλώσσες περιγραφής υλικού. Είναι προφανές ότι η τήρηση των συντακτικών κανόνων της HDL δεν αρκεί για να εξασφαλιστεί ότι τα συνταχθέντα μοντέλα καλύπτουν πράγματι τις τεθείσες λειτουργικές προδιαγραφές και ότι τα περιγραφόμενα κυκλώματα είναι όντως συνθέσιμα από εμπορικά διαθέσιμα εργαλεία σύνθεσης. Για αυτούς τους λόγους, προσπαθούμε να εισάγουμε την μεθοδική χρήση αποδεκτών από τη βιομηχανία πρακτικών για τη σύνταξη μοντέλων, ώστε να εξασφαλιστεί ότι οι συντασσόμενες περιγραφές συμπεριφοράς (behavioral descriptions) είναι όντως υλοποιήσιμες στην πράξη και ότι η συμπεριφορά των αντιστοίχων υλοποιημένων κυκλωμάτων θα αντιστοιχεί ακριβώς στις δεδομένες περιγραφές συμπεριφοράς. Εάν οι φοιτητές δεν ακολουθήσουν τις αποδεκτές αυτές πρακτικές αλλά αυτοσχεδιάσουν, υπάρχει κίνδυνος να αντιμετωπίσουν συνθήκες κυνηγητού (race conditions) στα υπό σύνταξη μοντέλα HDL ψηφιακών μηχανών, συνθήκες κυνηγητού στα δοκιμαστικά προγράμματα που συντάσσουν για την επαλήθευση της ορθότητας των μοντέλων αυτών, καθώς και αναντιστοιχία ανάμεσα στα αποτελέσματα της προσομοίωσης των μοντέλων συμπεριφοράς και στα αποτελέσματα της προσομοίωσης των κυκλωμάτων που προκύπτουν από την διαδικασία σύνθεσης των ίδιων μοντέλων. Μια άλλη πιθανή συνέπεια της μη συμμόρφωσης με τη βιομηχανική πρακτική, είναι το να καταλήξουν οι φοιτητές σε σχεδιάσεις με σωστά μεν αποτελέσματα προσομοίωσης, αλλά με επί πλέον μανδαλωτές, λανθασμένα εισηγμένους στα σχετικά κυκλώματα μέσω του εσφαλμένου στυλ μοντελοποίησης. Η μεθοδολογία που βασίζεται στην βιομηχανική πρακτική, την οποία παρουσιάζουμε, οδηγεί σε σχεδιάσεις χωρίς κυνηγητά και χωρίς επί πλέον μανδαλωτές. Θεωρούμε σημαντικό οι σπουδαστές να μάθουν και να ακολουθούν την μεθοδολογία αυτή, ανεξάρτητα από αν χρησιμοποιούν στο μάθημά τους εργαλεία σύνθεσης.

9 xii Ψηφιακή Σχεδίαση ΕΠΑΛΗΘΕΥΣΗ ΤΗΣ ΟΡΘΟΤΗΤΑΣ ΣΧΕ ΙΑΣΗΣ Στη βιομηχανία, σημαντικό μέρος της όλης διαδικασίας σχεδίασης αφορά την επαλήθευση της ορθής λειτουργίας ενός κυκλώματος. Όμως, στα σχετικά, εισαγωγικού χαρακτήρα βιβλία λογικής σχεδίασης δεν δίνεται πάντα η απαιτούμενη έμφαση στην επαλήθευση της ορθής λειτουργίας των ψηφιακών κυκλωμάτων, δεδομένου ότι τα βιβλία αυτά συνήθως εστιάζουν στο σχεδιασμό αυτό καθ εαυτό, ενώ ο έλεγχος της ορθότητας της λειτουργίας θεωρείται ως δευτερεύουσα εργασία. Σύμφωνα με τη δική μας πείρα, αυτή η προσέγγιση μπορεί εύκολα να οδηγήσει σε πρόωρες και λανθασμένες διαπιστώσεις ορθής λειτουργίας, εκφραζόμενες από τη συνηθισμένη δήλωση ότι «το κύκλωμα λειτουργεί τέλεια». Αντίστοιχα, οι βιομηχανικές επενδύσεις σε μοντέλα HDL αποδίδουν ικανοποιητικά, αν εξασφαλιστεί ότι τα μοντέλα αυτά είναι αναγνώσιμα (κατανοητά και από άλλους), μεταφέρσιμα και επαναχρησιμοποιήσιμα. Για τον λόγο αυτό παρουσιάζουμε, επί πλέον, αποδεκτές πρακτικές δημιουργίας και χρήσης ονομάτων και ορθής χρήσης παραμέτρων. Ακόμη, παραθέτουμε προγράμματα δημιουργίας δοκιμαστικών εισόδων σε όλες τις λύσεις και ασκήσεις, προκειμένου (1) να γίνει επαλήθευση της ορθής λειτουργικότητας των κυκλωμάτων, (2) να δοθεί έμφαση στη σημασία της αναλυτικής και πλήρους δοκιμής των κυκλωμάτων και (3) να εισαχθούν σημαντικές έννοιες, όπως αυτή των αυτοελεγχόμενων προγραμμάτων δημιουργίας δοκιμαστικών εισόδων. Υποστηρίζουμε δε θερμά την ανάγκη χρήσης σχεδίων δοκιμών (test plans) ως οδηγών της ανάπτυξης προγραμμάτων δημιουργίας δοκιμαστικών εισόδων, γι αυτό και εισάγουμε τις σχετικές έννοιες, δείχνουμε τον τρόπο χρήσης των σχεδίων δοκιμών και ασχολούμαστε περισσότερο με αυτά στο εγχειρίδιο λύσεων και στις απαντήσεις σε επιλεγμένα προβλήματα που είναι στο τέλος του παρόντος βιβλίου. ΠΕΡΙΕΧΟΜΕΝΟ HDL Στην παρούσα έκδοση του βιβλίου έχει επικαιροποιηθεί και επεκταθεί το τμήμα που αφορά στη γλώσσα περιγραφής υλικού Verilog. Επιπλέον δε, εκμεταλλευόμαστε σημαντικές βελτιώσεις της Verilog που έχουν περιληφθεί στα πρότυπα IEEE και IEEE Όπως ήδη αναφέρθηκε, εξ άλλου, έχουμε φροντίσει όλα τα παραδείγματα του βιβλίου, καθώς και οι απαντήσεις που περιέχονται στο εγχειρίδιο λύσεων, να συμφωνούν με την καθιερωμένη βιομηχανική πρακτική για την μοντελοποίηση ψηφιακού υλικού (hardware). Όπως και στην προηγούμενη έκδοση, τα τμήματα της ύλης που αφορούν την HDL, αφ ενός παρατίθενται σε ξεχωριστές ενότητες, ώστε να είναι δυνατόν είτε να διδαχθούν, είτε να παραλειφθούν, αφ ετέρου δε ούτε επηρεάζουν την πληρότητα της κάλυψης του σχεδιασμού ψηφιακών συστημάτων χωρίς τη βοήθεια υπολογιστή, ούτε επιβάλλουν κάποια ειδική σειρά παρουσίασης της ύλης. Η κάλυψη της ύλης έχει γίνει σε ένα επίπεδο κατάλληλο για αρχάριους σπουδαστές, οι οποίοι διδάσκονται ψηφιακά κυκλώματα και μία γλώσσα περιγραφής υλικού ταυτόχρονα. Το περιεχόμενο του βιβλίου προετοιμάζει τους σπουδαστές κατάλληλα, τόσο για να μπορέσουν να εργαστούν σε πιο εκτεταμένες, ανεξάρτητες σχεδιαστικές μελέτες, όσο και για να μπορέσουν να συνεχίσουν τις σπουδές τους με επιτυχία παίρνοντας μαθήματα αρχιτεκτονικής υπολογιστών. Τα ψηφιακά κυκλώματα περιγράφονται στα Κεφάλαια 1 έως 3. Μία πρώτη εισαγωγή στη Verilog HDL γίνεται στην Ενότητα Περαιτέρω ύλη για τη μοντελοποίηση με HDL υπάρχει στην Ενότητα 4.12, μετά από τη εξέταση των συνδυαστικών κυκλωμάτων. Τα ακολουθιακά κυκλώματα καλύπτονται στα Κεφάλαια 5 και 6, με αντίστοιχα παραδείγματα HDL στις Ενότητες 5.6 και 6.6. Η περιγραφή σε HDL των κυκλωμάτων μνήμης παρουσιάζεται στην Ενότητα 7.2. Τα σύμβολα RTL που χρησιμοποιούνται στη Verilog περιγράφονται στην Ενότητα 8.3. Παραδείγματα μοντέλων RTL και δομικών μοντέλων σε Verilog δίνονται στις Ενότητες 8.6 και 8.9. Επίσης, στο Κεφάλαιο 8 παρουσιάζεται μία νέα, αναλυτική μελέτη του σχεδιασμού ενός ελεγκτή ενός διαδρόμου δεδομένων με χρήση HDL.

10 Πρόλογος xiii Η Ενότητα καλύπτει τη μοντελοποίηση σε επίπεδο διακοπτών, η οποία αντιστοιχεί στα κυκλώματα CMOS,. Στην Ενότητα 11.20, τα πειράματα που αφορούν πραγματικά ψηφιακά κυκλώματα συμπληρώνονται με πειράματα HDL. Έτσι, τα κυκλώματα που σχεδιάζονται στο εργαστήριο μπορούν να ελεγχθούν μέσω της μοντελοποίησης τους με Verilog και της προσομοίωσης της συμπεριφοράς τους. Στη συνέχεια δε, τα κυκλώματα αυτά μπορούν να συντεθούν και να υλοποιηθούν με FPGA σε μία πλακέτα κατασκευής δοκιμαστικών πρωτοτύπων. ΠΡΟΣΟΜΟΙΩΤΕΣ HDL Το CD-ROM που υπάρχει στο τέλος του βιβλίου περιέχει αρχεία με τον πηγαίο κώδικα Verilog HDL που αντιστοιχεί στα παραδείγματα του βιβλίου, καθώς και δύο προσομοιωτές της SynaptiCAD. Ο πρώτος προσομοιωτής είναι ο VeriLogger Pro, ένας κλασικός προσομοιωτής Verilog που μπορεί να χρησιμοποιηθεί για την προσομοίωση των παραδειγμάτων HDL του βιβλίου και για την επαλήθευση της ορθότητας των λύσεων των προβλημάτων HDL. Αυτός ο προσομοιωτής δέχεται τους κανόνες σύνταξης του προτύπου IEEE-1995, επομένως είναι χρήσιμος σε όσους χρησιμοποιούν παλαιότερα μοντέλα. Ο προσομοιωτής Verilogger Extreme δέχεται τους κανόνες σύνταξης τόσο του προτύπου IEEE-2001, όσο και του IEEE-1995, είναι δε αλληλεπιδραστικός, ώστε να επιτρέπει στο σχεδιαστή να δοκιμάζει και αναλύει ιδέες πριν από την ολοκλήρωση του μοντέλου προσομοίωσης ή του σχηματικού διαγράμματος του κυκλώματος. Αυτή η δυνατότητα είναι ιδιαίτερα χρήσιμη στους σπουδαστές, επειδή μπορούν να προσομοιώνουν γρήγορα λογικές εξισώσεις ή εξισώσεις εισόδων D φλιπ-φλοπ και μανδαλωτών, ώστε να ελέγχουν την λογικές ισοδυναμίες και να πειραματίζονται με κυκλώματα που περιέχουν φλιπ-φλοπ και μανδαλωτές. ΥΛΙΚΟ ΓΙΑ ΤΟΥΣ ΚΑΘΗΓΗΤΕΣ Οι καθηγητές μπορούν να βρουν στην ιστοσελίδα του εκδότη ( και να κατεβάσουν από εκεί το ακόλουθο υλικό, το οποίο είναι έτοιμο για χρήση στη διδασκαλία: Πηγαίο κώδικα και προγράμματα παραγωγής δοκιμαστικών εισόδων για όλα τα παραδείγματα Verilog του βιβλίου. Όλα τα σχήματα και τους πίνακες του βιβλίου. Πηγαίο κώδικα για όλα τα μοντέλα HDL του εγχειριδίου λύσεων. Επίσης, ένα εγχειρίδιο λύσεων σε έντυπη μορφή με γραφικά, κατάλληλο για χρήση στη διδασκαλία, είναι διαθέσιμο στους καθηγητές. ΠΕΡΙΛΗΨΗ ΤΩΝ ΠΕΡΙΕΧΟΜΕΝΩΝ ΤΟΥ ΒΙΒΛΙΟΥ Στα επόμενα δίνεται μία σύντομη περίληψη των θεμάτων που καλύπτονται σε κάθε κεφάλαιο. Στο Κεφάλαιο 1 παρουσιάζονται τα διάφορα δυαδικά συστήματα που είναι κατάλληλα για την παράσταση πληροφοριών στα ψηφιακά συστήματα. Εξηγείται αναλυτικά το δυαδικό σύστημα αρίθμησης και επεξηγούνται οι δυαδικοί κώδικες. ίνονται παραδείγματα πρόσθεσης και αφαίρεσης προσημασμένων δυαδικών αριθμών και δεκαδικών αριθμών σε μορφή BCD. Στο Κεφάλαιο 2 εισάγονται τα βασικά αξιώματα της Άλγεβρας Boole και καταδεικνύεται η σχέση μεταξύ των εκφράσεων Boole και των αντίστοιχων λογικών διαγραμμάτων. Εξετάζονται όλες οι πιθανές λογικές πράξεις δύο μεταβλητών και ορίζονται οι πιο χρήσιμες από τις λογικές πύλες που χρησιμοποιούνται στη σχεδίαση ψηφιακών συστημάτων. Σ αυτό το κεφάλαιο, ακόμη, αναφέρονται αρχικά τα χαρακτηριστικά των πραγματικών πυλών των εμπορικών ολοκληρωμένων κυκλωμάτων, ενώ μία πιο ενδελεχής ανάλυση των ηλεκτρονικών κυκλωμάτων των πυλών γίνεται στο Κεφάλαιο 10.

11 xiv Ψηφιακή Σχεδίαση Στο Κεφάλαιο 3 καλύπτεται η μέθοδος του χάρτη, η οποία χρησιμοποιείται για την απλοποίηση εκφράσεων Boole. Η μέθοδος του χάρτη χρησιμοποιείται, επίσης, για την απλοποίηση ψηφιακών κυκλωμάτων που κατασκευάζονται με πύλες AND-OR, NAND ή NOR. Εξετάζονται, ακόμη, όλα τα άλλα δυνατά κυκλώματα πυλών δύο επιπέδων και εξηγείται η μέθοδος υλοποίησής τους. Τέλος δε, στο κεφάλαιο αυτό δίνονται απλά παραδείγματα μοντελοποίησης σε επίπεδο πυλών με τη χρήση της Verilog. Στο Κεφάλαιο 4 περιγράφονται οι τυπικές (formal) διαδικασίες ανάλυσης και σχεδίασης συνδυαστικών κυκλωμάτων. ίνονται παραδείγματα σχεδίασης κυκλωμάτων που αποτελούν βασικούς δομικούς λίθους στη σχεδίαση ψηφιακών συστημάτων, όπως, π.χ., αθροιστών και μετατροπέων κωδίκων. Σ αυτό το κεφάλαιο, ακόμη, περιγράφονται συχνά χρησιμοποιούμενα ψηφιακά λογικά συνδυαστικά κυκλώματα, όπως είναι οι παράλληλοι αθροιστές και αφαιρέτες, οι αποκωδικοποιητές, οι κωδικοποιητές και οι πολυπλέκτες και εξετάζεται η χρήση τους στη σχεδίαση συνδυαστικών κυκλωμάτων. ίνονται δε παραδείγματα χρήσης της HDL στις περιγραφές σε επίπεδο πυλών, σε μοντέλα ροής δεδομένων και σε μοντέλα συμπεριφοράς, ώστε να φανούν οι εναλλακτικοί τρόποι περιγραφής συνδυαστικών κυκλωμάτων με την Verilog. Τέλος, παρατίθεται η διαδικασία σύνταξης ενός απλού προγράμματος παραγωγής διέγερσης (δοκιμαστικών εισόδων) για την δοκιμή ενός μοντέλου HDL. Στο Κεφάλαιο 5 περιγράφονται οι τυπικές διαδικασίες για την ανάλυση και σχεδίαση (σύγχρονων) ακολουθιακών κυκλωμάτων με ρολόι. Παρουσιάζεται η δομή των διάφορων φλιπ-φλοπ και καταδεικνύεται η διαφορά μεταξύ πυροδότησης με σήμα συγκεκριμένου επιπέδου (level triggering) και ακμοπυροδότησης (edge triggering). Χρησιμοποιούνται συγκεκριμένα παραδείγματα για να καταδειχθεί ο τρόπος παραγωγής των πινάκων και των διαγραμμάτων καταστάσεων που είναι αναγκαία για την ανάλυση ενός ακολουθιακού κυκλώματος. ίνονται δε αρκετά παραδείγματα σχεδίασης, με έμφαση στα ακολουθιακά κυκλώματα που κατασκευάζονται με φλιπ-φλοπ τύπου D. Επεξηγείται η μοντελοποίηση της συμπεριφοράς των ακολουθιακών κυκλωμάτων με την χρήση Verilog και δίνονται παραδείγματα HDL για να φανεί η χρήση των μοντέλων Mealy και Moore των ακολουθιακών κυκλωμάτων. Στο Κεφάλαιο 6 ασχολούμαστε με διάφορα δομικά στοιχεία ακολουθιακών κυκλωμάτων, όπως είναι οι παράλληλοι καταχωρητές, οι καταχωρητές ολίσθησης και οι μετρητές. Τα στοιχειώδη αυτά κυκλώματα είναι τα βασικά δομικά στοιχεία από τα οποία κατασκευάζονται πιο σύνθετα ψηφιακά συστήματα. Στο Κεφάλαιο αυτό, επίσης, δίνονται περιγραφές HDL μετρητών και καταχωρητών ολίσθησης. Στο Κεφάλαιο 7 ασχολούμαστε με τις μνήμες τυχαίας προσπέλασης (RAM) και τις προγραμματίσιμες λογικές διατάξεις. Επί πλέον, εξετάζουμε την αποκωδικοποίηση μνήμης και τα συστήματα διόρθωσης σφαλμάτων. Τέλος, παρουσιάζουμε συνδυαστικές και ακολουθιακές προγραμματίσιμες διατάξεις, όπως τις ROM, τα PLA, τα PAL, τα CPLD και τα FPGA. Στο Κεφάλαιο 8 ασχολούμαστε με την παράσταση ψηφιακών συστημάτων στο επίπεδο μεταφοράς (περιεχομένων) καταχωρητών (RTL). Εισάγεται η χρήση του διαγράμματος αλγοριθμικών μηχανών καταστάσεων (ASM) και δίνονται σχετικά παραδείγματα, καθώς και παραδείγματα του διαγράμματος ASMD, της παράστασης σε επίπεδο RTL και της περιγραφής HDL στη σχεδίαση ψηφιακών συστημάτων. Παρουσιάζεται αναλυτικά η σχεδίαση μίας μηχανής πεπερασμένων καταστάσεων που ελέγχει έναν διάδρομο δεδομένων και εξετάζεται η ρεαλιστικής περίπτωση, κατά την οποία σήματα κατάστασης του διαδρόμου δεδομένων χρησιμοποιούνται από τη μηχανή καταστάσεων που τον ελέγχει. Αυτό το κεφάλαιο είναι, πιθανόν, το πλέον σημαντικό κεφάλαιο του βιβλίου, καθώς δίνει στο σπουδαστή μία συστηματική προσέγγιση που ακολουθείται σε πιο προχωρημένες σχεδιαστικές εργασίες. Στο Κεφάλαιο 9 παρουσιάζονται τυπικές διαδικασίες για την ανάλυση και σχεδίαση ασύγχρονων ακολουθιακών κυκλωμάτων και περιγράφονται μέθοδοι υλοποίησης ενός ασύγχρονου ακολουθιακού κυκλώματος ως συνδυαστικού κυκλώματος με ανάδραση. Περιγράφεται, επίσης, μία εναλλακτική υλοποίηση ακολουθιακού κυκλώματος, στην οποία χρησιμοποιούνται SR μανδαλωτές ως στοιχεία μνήμης ασύγχρονων ακολουθιακών κυκλωμάτων. Στο Κεφάλαιο 10 παρουσιάζονται οι πιο διαδεδομένες οικογένειες ψηφιακών ολοκληρωμένων κυκλωμάτων και αναλύονται τα ηλεκτρονικά κυκλώματα των βασικών πυλών κάθε οικογένειας με

12 Πρόλογος xv χρήση της θεωρίας ηλεκτρονικών κυκλωμάτων. Στοιχειώδης γνώση ηλεκτρονικής είναι αναγκαία για την πλήρη κατανόηση της ύλης αυτού του κεφαλαίου. Επίσης, στο κεφάλαιο αυτό δίνονται παραδείγματα μοντέλων Verilog σε επίπεδο διακοπτών, ώστε για να φανεί η δυνατότητα προσομοίωσης κυκλωμάτων με τρανζίστορ MOS και κυκλωμάτων CMOS. Στο Κεφάλαιο 11 περιγράφονται πειράματα που μπορούν να εκτελεστούν στο εργαστήριο με εμπορικά διαθέσιμα ολοκληρωμένα κυκλώματα (ΟΚ). Εξηγείται δε η λειτουργία των ΟΚ που χρησιμοποιούνται στα πειράματα, με αναφορές στα λογικά διαγράμματα κυκλωμάτων παρεμφερούς λειτουργίας που εξετάστηκαν σε προηγούμενα κεφάλαια. Κάθε πείραμα παρουσιάζεται με απλοποιημένο και όχι τυπικό τρόπο και ζητείται από τους σπουδαστές να κατασκευάσουν το κυκλωματικό διάγραμμα του ζητούμενου κυκλώματος και να βρουν τρόπο ελέγχου της λειτουργίας του κυκλώματος αυτού στο εργαστήριο. Τα περιγραφόμενα στο παρόν Κεφάλαιο πειράματα, συμπληρώνονται στην τελευταία ενότητα με αντίστοιχα πειράματα HDL. Εκτός από την κατασκευή των φυσικών κυκλωμάτων, οι σπουδαστές μπορούν να χρησιμοποιήσουν το λογισμικό της Verilog που δίνεται στο CD-ROM για να προσομοιώσουν τα κυκλώματά τους και να επαληθεύσουν την ορθότητα της σχεδίασής τους. Στο Κεφάλαιο 12 παρουσιάζονται τα πρότυπα σχηματικά σύμβολα για λογικές συναρτήσεις που προτείνονται από το πρότυπο ANSI/IEEE. Αυτά τα σχηματικά σύμβολα έχουν αναπτυχθεί για κυκλώματα SSI και MSI, με σκοπό να μπορεί ο χρήστης να αναγνωρίζει τη λειτουργία κάθε κυκλώματος από το μοναδικό σχηματικό σύμβολο που χρησιμοποιείται για την παράστασή του κυκλώματος. Στο Κεφάλαιο αυτό παρουσιάζονται τα πρότυπα σχηματικά σύμβολα των ολοκληρωμένων κυκλωμάτων που χρησιμοποιούνται στα εργαστηριακά πειράματα. Τα ψηφιακά κυκλώματα που χρησιμοποιούνται σε όλο το βιβλίο είναι παρεμφερή με εμπορικά διαθέσιμα ολοκληρωμένα κυκλώματα. Ωστόσο, στο κείμενο δεν αναφέρονται συγκεκριμένα ολοκληρωμένα κυκλώματα, εκτός από τα Κεφάλαια 11 και 12. Εάν εκτελέσουν τα προτεινόμενα πειράματα στο Κεφάλαιο 11 παράλληλα με τη μελέτη της αντίστοιχης θεωρίας, οι φοιτητές θα εμπλουτίσουν τις γνώσεις τους με πρακτική εμπειρία στην ψηφιακή σχεδίαση. ΕΡΓΑΣΤΗΡΙΑΚΑ ΠΕΙΡΑΜΑΤΑ Το παρόν βιβλίο μπορεί να χρησιμοποιηθεί για να υποστηρίξει είτε ένα αμιγώς θεωρητικό μάθημα, είτε ένα μάθημα που συμπεριλαμβάνει εργαστηριακή εξάσκηση, η οποία βασίζεται στα εργαστηριακά πειράματα του Κεφαλαίου 11. Μπορεί ακόμη να χρησιμοποιηθεί για να υποστηρίξει ένα αμιγώς εργαστηριακό μάθημα, το οποίο μπορεί να γίνει είτε με τον παραδοσιακό τρόπο, με τη χρήση δοκιμαστικών βάσεων (breadboards) και κυκλωμάτων TTL, είτε με τη χρήση HDL, εργαλείων σύνθεσης και FPGA. Σήμερα, το λογισμικό για τη σύνθεση μοντέλων HDL και την υλοποίηση των αντίστοιχων κυκλωμάτων με FPGA διατίθεται δωρεάν από τους κατασκευαστές FPGA, γεγονός που επιτρέπει στους σπουδαστές να ολοκληρώσουν μεγάλο μέρος της σχετικής εργασίας στο προσωπικό τους υπολογιστικό περιβάλλον, πριν χρησιμοποιήσουν πλακέτες κατασκευής πρωτοτύπων και άλλες φυσικές συσκευές και διατάξεις στο εργαστήριο. Οι πλακέτες κατασκευής πρωτοτύπων που χρησιμοποιούνται στην ταχεία πραγματοποίηση κυκλωμάτων με FPGA διατίθενται πλέον σε πολύ χαμηλό κόστος και, συνήθως, περιλαμβάνουν διακόπτες, πιεστικούς διακόπτες, ενδείκτες επτά τμημάτων, LCD, πληκτρολόγια και άλλες συσκευές εισόδου-εξόδου πληροφορίας. Με αυτές τις δυνατότητες, οι σπουδαστές μπορούν είτε να εκτελέσουν προκαθορισμένες εργαστηριακές ασκήσεις, είτε να δουλέψουν σε δικά τους θέματα, με σημαντική ταχύτητα και αποτελεσματικότητα. Η λειτουργίες των ολοκληρωμένων κυκλωμάτων που χρησιμοποιούνται στα πειράματα του Κεφαλαίου 11 εξηγούνται με την αναφορά στα λογικά διαγράμματα παρεμφερών κυκλωμάτων που εξετάζονται σε προηγούμενα κεφάλαια του βιβλίου. Κάθε πείραμα παρουσιάζεται με απλοποιημένο και όχι τυπικό τρόπο και ζητείται από τους σπουδαστές να κατασκευάσουν το κυκλωματικό διάγραμμα του ζητούμενου κυκλώματος και να βρουν τρόπο ελέγχου της λειτουργίας του κυκλώματος αυτού στο εργαστήριο. Τα περιγραφόμενα πειράματα συμπληρώνονται στην τελευταία ενότητα του αντίστοι-

13 xvi Ψηφιακή Σχεδίαση χου κεφαλαίου με αντίστοιχα πειράματα HDL. Εκτός από την κατασκευή των φυσικών κυκλωμάτων, οι σπουδαστές μπορεί να χρησιμοποιήσουν το λογισμικό Verilog που δίνεται στο CD-ROM για να προσομοιώσουν τα κυκλώματά τους και να επαληθεύσουν την ορθότητα της σχεδίασής τους. Μπορούν ακόμη να χρησιμοποιήσουν εργαλεία σύνθεσης για να υλοποιήσουν τα κυκλώματά τους με FPGA σε σχετικές πλακέτες κατασκευής πρωτοτύπων. Ευχαριστούμε την εκδοτική ομάδα της Prentice Hall για την αφοσίωσή τους στην εργασία της έγκαιρης αναθεώρησης του παρόντος βιβλίου. Τέλος, θέλουμε να εκφράσουμε την ευγνωμοσύνη μας προς τις συζύγους μας, Sandra και Jerilynn, για το ότι μας ενθάρρυναν στο παρόν έργο μας. M. Morris Mano Ομότιμος Καθηγητής Μηχανικής Υπολογιστών Πανεπιστήμιο της Πολιτείας της Καλιφόρνια, Λος Άντζελες Michael D. Ciletti Τμήμα Σχεδίασης Ηλεκτρικών και Υπολογιστικών Συστημάτων Πανεπιστήμιο του Κολοράντο στο Κολοράντο Σπρινγκς

03-00: Βιομάζα για παραγωγή ενέργειας Γενικά ζητήματα εφοδιαστικών αλυσίδων

03-00: Βιομάζα για παραγωγή ενέργειας Γενικά ζητήματα εφοδιαστικών αλυσίδων Κεφάλαιο 03-00 σελ. 1 03-00: Βιομάζα για παραγωγή ενέργειας Γενικά ζητήματα εφοδιαστικών αλυσίδων Μια από τις κύριες διαφορές μεταξύ της βιομάζας και των ορυκτών καυσίμων είναι ότι η βιομάζα παραμένει

Διαβάστε περισσότερα

Σοφία Γιουρούκου, Ψυχολόγος Συνθετική Ψυχοθεραπεύτρια

Σοφία Γιουρούκου, Ψυχολόγος Συνθετική Ψυχοθεραπεύτρια Σοφία Γιουρούκου, Ψυχολόγος Συνθετική Ψυχοθεραπεύτρια Η αντίδραση στο άγχος είναι μία φυσιολογική, ζωτική αντίδραση στην απειλή. Το άγχος είναι ένα συναίσθημα δυσθυμίας που προέρχεται από την υποκειμενική

Διαβάστε περισσότερα

ΠΟΛΙΤΙΚΉ ΠΑΙΔΕΙΑ. Α Γενικού Λυκείου και ΕΠΑ.Λ. Καζάκου Γεωργία, ΠΕ09 Οικονομολόγος

ΠΟΛΙΤΙΚΉ ΠΑΙΔΕΙΑ. Α Γενικού Λυκείου και ΕΠΑ.Λ. Καζάκου Γεωργία, ΠΕ09 Οικονομολόγος 1 ΠΟΛΙΤΙΚΉ ΠΑΙΔΕΙΑ Α Γενικού Λυκείου και ΕΠΑ.Λ. 2 ΚΕΦΑΛΑΙΟ 11 Ο ΤΟ ΧΡΗΜΑ ΚΑΙ ΟΙ ΤΡΑΠΕΖΕΣ 11.1 Από τον αντιπραγματισμό στην οικονομία του χρήματος 11.1 ΑΠΟ ΤΟΝ ΑΝΤΙΠΡΑΓΜΑΤΙΣΜΟ ΣΤΗΝ ΟΙΚΟΝΟΜΙΑ ΤΟΥ ΧΡΗΜΑΤΟΣ

Διαβάστε περισσότερα

ΟΡΓΑΝΩΣΗ ΕΝΟΤΗΤΩΝ Α ΤΑΞΗΣ ΕΝΟΤΗΤΑ 3

ΟΡΓΑΝΩΣΗ ΕΝΟΤΗΤΩΝ Α ΤΑΞΗΣ ΕΝΟΤΗΤΑ 3 ΟΡΓΑΝΩΣΗ ΕΝΟΤΗΤΩΝ Α ΤΑΞΗΣ ΕΝΟΤΗΤΑ 3 Σημειώνεται ότι για την ετοιμασία και εφαρμογή της ενότητας συνέδραμαν και οι συνάδελφοι Μαρία Ανθίμου και Χριστίνα Κκαΐλη (Δημοτικό Σχολείο Μενεού) ΔΕΙΚΤΕΣ ΕΠΙΤΥΧΙΑΣ:

Διαβάστε περισσότερα

Από το ξεκίνημά του ο ΤΙΤΑΝ εκφράζει

Από το ξεκίνημά του ο ΤΙΤΑΝ εκφράζει Ένας Τιτανικός θεσμός επιβράβευσης επιτυχιών νέων ανθρώπων Από το ξεκίνημά του ο ΤΙΤΑΝ εκφράζει έμπρακτα και πολύπλευρα το ενδιαφέρον του για τους νέους ανθρώπους, ιδιαίτερα δε για τα παιδιά, κάθε ηλικίας,

Διαβάστε περισσότερα

Νεοελληνική Γλώσσα Λυκείου

Νεοελληνική Γλώσσα Λυκείου Νεοελληνική Γλώσσα Λυκείου Πλαίσιο προδιαγραφών Ι. Δομή θεμάτων Η διαδικασία εισαγωγής των μαθητών/τριών στην Α Λυκείου προβλέπει τη δοκιμασία τους σε τρία θέματα Νεοελληνικής Γλώσσας, καθένα από τα οποία

Διαβάστε περισσότερα

Αυτός που δεν μπορεί να δει τα μικρά πράγματα είναι τυφλός και για τα μεγαλύτερα. (Κομφούκιος, 551-479 πχ)

Αυτός που δεν μπορεί να δει τα μικρά πράγματα είναι τυφλός και για τα μεγαλύτερα. (Κομφούκιος, 551-479 πχ) Αυτός που δεν μπορεί να δει τα μικρά πράγματα είναι τυφλός και για τα μεγαλύτερα (Κομφούκιος, 551-479 πχ) ΠΕΡΙΛΗΨΗ ΠΕΡΙΛΗΨΗ Στο παιχνίδι αυτό, κάθε παίκτης έχει το ρόλο ενός Κινέζου πρίκγιπα, προσπαθώντας

Διαβάστε περισσότερα

ΕΘΙΜΑ ΤΟΥ ΚΟΣΜΟΥ. Αγγελική Περιστέρη Α 2

ΕΘΙΜΑ ΤΟΥ ΚΟΣΜΟΥ. Αγγελική Περιστέρη Α 2 ΕΘΙΜΑ ΤΟΥ ΚΟΣΜΟΥ Αγγελική Περιστέρη Α 2 ΧΡΙΣΤΟΥΓΕΝΝΑ Ιρλανδία: Τη νύκτα της παραμονής των Χριστουγέννων όλα τα παράθυρα των σπιτιών που βλέπουν προς το δρόμο, φωτίζονται από ένα αναμμένο κερί, το οποίο

Διαβάστε περισσότερα

ΘΕΣΕΙΣ ΤΟΥ ΣΥΝΔΕΣΜΟΥ ΓΙΑ ΤΗΝ ΑΝΑΜΟΡΦΩΣΗ ΤΟΥ ΘΕΣΜΙΚΟΥ ΠΛΑΙΣΙΟΥ ΑΝΑΘΕΣΗΣ ΚΑΙ ΕΚΠΟΝΗΣΗΣ ΜΕΛΕΤΩΝ

ΘΕΣΕΙΣ ΤΟΥ ΣΥΝΔΕΣΜΟΥ ΓΙΑ ΤΗΝ ΑΝΑΜΟΡΦΩΣΗ ΤΟΥ ΘΕΣΜΙΚΟΥ ΠΛΑΙΣΙΟΥ ΑΝΑΘΕΣΗΣ ΚΑΙ ΕΚΠΟΝΗΣΗΣ ΜΕΛΕΤΩΝ ΣΥΝΔΕΣΜΟΣ ΜΕΛΕΤΗΤΩΝ ΥΔΡΑΥΛΙΚΩΝ ΕΡΓΩΝ ΚΑΙ ΔΙΑΧΕΙΡΙΣΗΣ ΥΔΑΤΙΚΩΝ ΠΟΡΩΝ (ΣΜΥΕ-ΔΥΠ) Λ.ΑΛΕΞΑΝΔΡΑΣ 40,11473 ΑΘΗΝΑ ΤΗΛ.2108822303/2108064543 FAX 2106124492 EMAIL:info@smye.gr ΘΕΣΕΙΣ ΤΟΥ ΣΥΝΔΕΣΜΟΥ ΓΙΑ ΤΗΝ ΑΝΑΜΟΡΦΩΣΗ

Διαβάστε περισσότερα

Υποψήφιοι Σχολικοί Σύμβουλοι 1986 2005

Υποψήφιοι Σχολικοί Σύμβουλοι 1986 2005 Υποψήφιοι Σχολικοί Σύμβουλοι 1986 25 Για τους /τις εκπαιδευτικούς που υπέβαλαν αίτηση υποψηφιότητας για τη θέση Σχολικού Συμβούλου υπάρχουν μας διατέθηκαν από τις αρμόδιες υπηρεσίες του ΥΠΕΠΘ, για τα έτη

Διαβάστε περισσότερα

Όταν το μάθημα της πληροφορικής γίνεται ανθρωποκεντρικό μπορεί να αφορά και την εφηβεία.

Όταν το μάθημα της πληροφορικής γίνεται ανθρωποκεντρικό μπορεί να αφορά και την εφηβεία. Όταν το μάθημα της πληροφορικής γίνεται ανθρωποκεντρικό μπορεί να αφορά και την εφηβεία. Στόχος μας : να χρησιμοποιήσουμε τον υπολογιστή και το διαδίκτυο για να αντλήσουμε σωστές πληροφορίες, να τις επεξεργαστούμε

Διαβάστε περισσότερα

ΔΗΜΗΤΡΑ ΠΑΠΑΖΩΤΟΥ ΧΡΥΣΑΝΘΗ-ΣΟΦΙΑ ΠΛΑΚΑ ΑΝΤΙΓΟΝΗ ΤΣΑΡΑ

ΔΗΜΗΤΡΑ ΠΑΠΑΖΩΤΟΥ ΧΡΥΣΑΝΘΗ-ΣΟΦΙΑ ΠΛΑΚΑ ΑΝΤΙΓΟΝΗ ΤΣΑΡΑ ΔΗΜΗΤΡΑ ΠΑΠΑΖΩΤΟΥ ΧΡΥΣΑΝΘΗ-ΣΟΦΙΑ ΠΛΑΚΑ ΑΝΤΙΓΟΝΗ ΤΣΑΡΑ ΜΑΚΙΓΙΑΖ Ιατρικές ιδιότητες Χρησιμοποιούσαν καθρέφτες για το μακιγιάζ και το χτένισμα Φύλαγαν τα εργαλεία τους σε κασετίνες ΜΑΤΙΑ : Χρησιμοποιούσαν

Διαβάστε περισσότερα

ΣΥΜΒΟΛΗ ΤΩΝ ΝΕΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΣΤΗΝ ΚΑΤΑΡΤΙΣΗ ΚΑΙ ΕΚΠΑΙΔΕΥΣΗ ΤΟΥ ΠΡΟΣΩΠΙΚΟΥ ΜΙΑΣ ΣΥΓΧΡΟΝΗΣ ΕΠΙΧΕΙΡΗΣΗΣ

ΣΥΜΒΟΛΗ ΤΩΝ ΝΕΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΣΤΗΝ ΚΑΤΑΡΤΙΣΗ ΚΑΙ ΕΚΠΑΙΔΕΥΣΗ ΤΟΥ ΠΡΟΣΩΠΙΚΟΥ ΜΙΑΣ ΣΥΓΧΡΟΝΗΣ ΕΠΙΧΕΙΡΗΣΗΣ ΤΕΙ ΗΠΕΙΡΟΥ- ΠΑΡΑΡΤΗΜΑ ΠΡΕΒΕΖΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΣΥΜΒΟΛΗ ΤΩΝ ΝΕΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΣΤΗΝ ΚΑΤΑΡΤΙΣΗ ΚΑΙ ΕΚΠΑΙΔΕΥΣΗ ΤΟΥ ΠΡΟΣΩΠΙΚΟΥ ΜΙΑΣ ΣΥΓΧΡΟΝΗΣ ΕΠΙΧΕΙΡΗΣΗΣ ΕΠΙΜΕΛΕΙΑ:

Διαβάστε περισσότερα

Κατερίνα Παναγοπούλου: Δημιουργώντας κοινωνικό κεφάλαιο την εποχή της κρίσης

Κατερίνα Παναγοπούλου: Δημιουργώντας κοινωνικό κεφάλαιο την εποχή της κρίσης Κατερίνα Παναγοπούλου Πρέσβυς της Ελλάδας στο Συμβούλιο της Ευρώπης, πρόεδρος του σωματείου γυναικών «Καλλιπάτειρα». Πρώτο βραβείο «Γυναίκα και Αθλητισμός» 2012 για την Ευρώπη. Δημιουργώντας κοινωνικό

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙ ΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙ ΕΥΣΗΣ

ΥΠΟΥΡΓΕΙΟ ΠΑΙ ΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙ ΕΥΣΗΣ 1. EIΣΑΓΩΓΗ ΥΠΟΥΡΓΕΙΟ ΠΑΙ ΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙ ΕΥΣΗΣ Πακέτο Στοχευμένων Μέτρων Κρατικής Φοιτητικής Πρόνοιας για το ακαδημαϊκό έτος 2013-2014 Kριτήρια - Οδηγίες 1.1 Στο

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΒΑΛΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΣΠΟΥΔΑΣΤΗΣ ΓΕΩΡΓΙΟΣ ΨΑΡΡΑΣ

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΒΑΛΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΣΠΟΥΔΑΣΤΗΣ ΓΕΩΡΓΙΟΣ ΨΑΡΡΑΣ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΒΑΛΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΠΑΡΑΒΑΣΕΙΣ-ΦΟΡΟΛΟΓΙΚΑ ΠΡΟΣΤΙΜΑ ΚΑΙ ΚΥΡΩΣΕΙΣ. ΦΟΡΟΔΙΑΦΥΓΗ- ΑΝΤΙΜΕΤΩΠΙΣΗ ΤΗΣ-ΕΠΙΠΤΩΣΕΙΣ ΣΤΗΝ ΕΛΛΗΝΙΚΗ

Διαβάστε περισσότερα

ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΠΑΡΑΔΟΤΕΟ ΕΘΝΙΚΟΥ ΜΗΧΑΝΙΣΜΟΥ

ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΠΑΡΑΔΟΤΕΟ ΕΘΝΙΚΟΥ ΜΗΧΑΝΙΣΜΟΥ ΥΠΟ ΤΗΝ ΕΠΟΠΤΕΙΑ ΤΟΥ ΥΠΟΥΡΓΕΙΟΥ ΕΡΓΑΣΙΑΣ, ΚΟΙΝΩΝΙΚΗΣ ΑΣΦΑΛΙΣΗΣ ΚΑΙ ΚΟΙΝΩΝΙΚΗΣ ΑΛΛΗΛΕΓΓΥΗΣ ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΔΕΚΕΜΒΡΙΟΣ 2015 ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ Ολυμπία Καμινιώτη

Διαβάστε περισσότερα

Σκοπός του παιχνιδιού. Περιεχόμενα

Σκοπός του παιχνιδιού. Περιεχόμενα Ένα συνεργατικό παιχνίδι μνήμης για 3 έως 6 παίκτες, 7 ετών και άνω. Ο Τομ σκαρφάλωσε στην κορυφή ενός δέντρου, για να δεί αν μπορούσε να ανακαλύψει κάτι. Κοιτάζοντας προς κάθε μεριά, είδε τουλάχιστον

Διαβάστε περισσότερα

Τοποθέτηση Δημάρχου Γ. Πατούλη. για τεχνικό πρόγραμμα 2010

Τοποθέτηση Δημάρχου Γ. Πατούλη. για τεχνικό πρόγραμμα 2010 Τοποθέτηση Δημάρχου Γ. Πατούλη για τεχνικό πρόγραμμα 2010 Κυρίες και κύριοι συνάδελφοι Η διοίκηση του Δήμου φέρνει σήμερα προς ψήφιση στο Δημοτικό Συμβούλιο το τεχνικό πρόγραμμα του Δήμου Αμαρουσίου για

Διαβάστε περισσότερα

ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ

ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ''ΜΕΛΕΤΗ ΓΙΑ ΤΗΝ ΙΚΑΝΟΠΟΙΗΣΗ ΤΩΝ ΦΟΙΤΗΤΩΝ ΤΟΥ ΤΜΗΜΑΤΟΣ ΛΟΓΙΣΤΙΚΗΣ ΤΟΥ Τ.Ε.Ι. ΗΠΕΙΡΟΥ ΑΠΟ ΤΙΣ ΣΠΟΥΔΕΣ ΤΟΥΣ'' ΓΕΩΡΓΙΟΣ ΝΤΑΛΑΟΥΤΗΣ

Διαβάστε περισσότερα

Ακίνητα: Προϋπόθεση μεταβίβασης ο ενιαίος φόρος Υποχρέωση «επόπτη» σε συμβολαιογράφους, φύλακες μεταγραφών και προϊσταμένους κτηματολογικών γραφείων

Ακίνητα: Προϋπόθεση μεταβίβασης ο ενιαίος φόρος Υποχρέωση «επόπτη» σε συμβολαιογράφους, φύλακες μεταγραφών και προϊσταμένους κτηματολογικών γραφείων Ακίνητα: Προϋπόθεση μεταβίβασης ο ενιαίος φόρος Υποχρέωση «επόπτη» σε συμβολαιογράφους, φύλακες μεταγραφών και προϊσταμένους κτηματολογικών γραφείων Σφίγγει ο κλοιός για τους ιδιοκτήτες ακινήτων, καθώς

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΚΡΗΤΗΣ ΣΧΟΛΗ ΙΟΙΚΗΣΗΣ ΟΙΚΟΝΟΜΙΑΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΚΡΗΤΗΣ ΣΧΟΛΗ ΙΟΙΚΗΣΗΣ ΟΙΚΟΝΟΜΙΑΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΚΡΗΤΗΣ ΣΧΟΛΗ ΙΟΙΚΗΣΗΣ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΦΟΡΟΛΟΓΙΑ ΕΙΣΟ ΗΜΑΤΟΣ ΦΥΣΙΚΩΝ ΚΑΙ ΝΟΜΙΚΩΝ ΠΡΟΣΩΠΩΝ ΣΤΗΝ ΕΥΡΩΠΑΙΚΗ ΕΝΩΣΗ ΣΠΟΥ ΑΣΤΗΣ: ΦΟΥΣΚΑΡΗΣ ΠΑΝΑΓΙΩΤΗΣ

Διαβάστε περισσότερα

Α. ΟΡΓΑΝΑ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΕΦΑΡΜΟΓΗΣ

Α. ΟΡΓΑΝΑ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΕΦΑΡΜΟΓΗΣ Α. ΟΡΓΑΝΑ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΕΦΑΡΜΟΓΗΣ Με την υπαγωγή του τομέα και της πολιτικής για την Έρευνα και την Τεχνολογία στο Υπουργείο Παιδείας, Δια Βίου Μάθησης και Θρησκευμάτων (ΥΠΔΒΜΘ), το Υπουργείο ανέλαβε

Διαβάστε περισσότερα

Δρ.ΠΟΛΥΚΑΡΠΟΣ ΕΥΡΙΠΙΔΟΥ

Δρ.ΠΟΛΥΚΑΡΠΟΣ ΕΥΡΙΠΙΔΟΥ Δρ.ΠΟΛΥΚΑΡΠΟΣ ΕΥΡΙΠΙΔΟΥ Σκοπος μαθηματος: -ορισμος υγιεινης -αρχες υγιεινης -σκοποι υγιεινης -αποτελεσματα υγιεινης. Ορισμος της Υγιεινης: Υγιεινη είναι η επιστημη που ερευνα και μελετα τα Υγειολογικα

Διαβάστε περισσότερα

Ασυντήρητες και επικίνδυνες οικοδομές

Ασυντήρητες και επικίνδυνες οικοδομές Ασυντήρητες και επικίνδυνες οικοδομές Στα τελευταία πέντε χρόνια έχουν καταγραφεί αρκετά περιστατικά πτώσης τμημάτων οικοδομών, κυρίως μπαλκονιών από πολυώροφες οικοδομές και είναι πραγματικά θαύμα το

Διαβάστε περισσότερα

ΔΕΛΤΙΟ ΤΥΠΟΥ. Ελλείψεις στο φορολογικό νομοσχέδιο. Σοβαρές ελλείψεις στη νέα μορφή του φορολογικού νομοσχεδίου

ΔΕΛΤΙΟ ΤΥΠΟΥ. Ελλείψεις στο φορολογικό νομοσχέδιο. Σοβαρές ελλείψεις στη νέα μορφή του φορολογικού νομοσχεδίου Επαγγελματικό Επιμελητήριο Θεσσαλονίκης Γραφείο Τύπου Θεσσαλονίκη, 12 Απριλίου 2010 ΔΕΛΤΙΟ ΤΥΠΟΥ Ελλείψεις στο φορολογικό νομοσχέδιο Σοβαρές ελλείψεις στη νέα μορφή του φορολογικού νομοσχεδίου διαπιστώνει

Διαβάστε περισσότερα

Συνοπτική Παρουσίαση. Ελλάδα

Συνοπτική Παρουσίαση. Ελλάδα Ελλάδα Συνοπτική Παρουσίαση Η θρησκευτική ελευθερία προστατεύεται από το Σύνταγμα και άλλους νόμους και πολιτικές, με κάποιους περιορισμούς. Γενικώς, η κυβέρνηση σεβάστηκε εμπράκτως τη θρησκευτική ελευθερία,

Διαβάστε περισσότερα

Πρακτικό εργαλείο. για την ταυτοποίηση πρώτου επιπέδου των θυμάτων παράνομης διακίνησης και εμπορίας. τη σεξουαλική εκμετάλλευση

Πρακτικό εργαλείο. για την ταυτοποίηση πρώτου επιπέδου των θυμάτων παράνομης διακίνησης και εμπορίας. τη σεξουαλική εκμετάλλευση Πρακτικό εργαλείο για την ταυτοποίηση πρώτου επιπέδου των θυμάτων παράνομης διακίνησης και εμπορίας με σκοπό τη σεξουαλική εκμετάλλευση Ιούνιος 2013 Στα πλαίσια της επαγγελματικής σας ιδιότητας ενδέχεται

Διαβάστε περισσότερα

Για κάθε διδακτική ενότητα ακολουθείται η λεγόμενη τετραμερής πορεία, χωρίζεται δηλαδή η διδασκαλία σε τέσσερα κύρια στάδια.

Για κάθε διδακτική ενότητα ακολουθείται η λεγόμενη τετραμερής πορεία, χωρίζεται δηλαδή η διδασκαλία σε τέσσερα κύρια στάδια. Πορεία διδασκαλίας λέγεται η διαδρομή που πρέπει να ακολουθηθεί κατά τη διδασκαλία, ώστε να επέλθει η μάθηση η οποία προσδιορίζεται από τους Αντικειμενικούς Σκοπούς της κάθε διδακτικής ενότητας. Η πορεία

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 8 ΣΥΜΠΕΡΑΣΜΑΤΑ

ΚΕΦΑΛΑΙΟ 8 ΣΥΜΠΕΡΑΣΜΑΤΑ ΚΕΦΑΛΑΙΟ 8 ΣΥΜΠΕΡΑΣΜΑΤΑ ΚΕΦΑΛΑΙΟ 8 : ΣΥΜΠΕΡΑΣΜΑΤΑ Σύμφωνα με τα όσα αναλυτικά έχουν περιγραφεί στα προηγούμενα κεφάλαια της παρούσας μελέτης η κατασκευή του τμήματος «Βρύσες Ατσιπόπουλο», του Βόρειου Οδικού

Διαβάστε περισσότερα

ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗ ΛΟΓΙΣΤΙΚΗ

ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗ ΛΟΓΙΣΤΙΚΗ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗ ΛΟΓΙΣΤΙΚΗ ΑΘΗΝΑ 2015 1 Το επιστημονικό περιεχόμενο του παρόντος βιβλίου έχει υποβληθεί σε κριτική ανάγνωση και εγκριθεί με το σύστημα των κριτών. Η κριτική ανάγνωση πραγματοποιήθηκε από

Διαβάστε περισσότερα

ΓΥΝΑΙΚΕΙΟΙ ΑΓΡΟΤΟΥΡΙΣΤΙΚΟΙ ΣΥΝΕΤΑΙΡΙΣΜΟΙ ΣΤΗΝ ΕΛΛΑ Α

ΓΥΝΑΙΚΕΙΟΙ ΑΓΡΟΤΟΥΡΙΣΤΙΚΟΙ ΣΥΝΕΤΑΙΡΙΣΜΟΙ ΣΤΗΝ ΕΛΛΑ Α ΕΝΤΥΠΟ ΥΛΙΚΟ 8 ης ΙΑΛΕΞΗΣ ΓΥΝΑΙΚΕΙΟΙ ΑΓΡΟΤΟΥΡΙΣΤΙΚΟΙ ΣΥΝΕΤΑΙΡΙΣΜΟΙ ΣΤΗΝ ΕΛΛΑ Α Τις δύο τελευταίες δεκαετίες στην Ελλάδα έγιναν σηµαντικές προσπάθειες για την ανάπτυξη του αγροτουρισµού, ως µοχλό για την

Διαβάστε περισσότερα

ΓΕΝΙΚΟ ΛΥΚΕΙΟ ΣΚΑΛΑΣ Η ΠΥΘΑΓΟΡΕΙΑ ΦΙΛΟΣΟΦΙΑ ΚΑΙ Η ΑΝΑΚΑΛΥΨΗ ΑΣΥΜΜΕΤΡΩΝ ΜΕΓΕΘΩΝ

ΓΕΝΙΚΟ ΛΥΚΕΙΟ ΣΚΑΛΑΣ Η ΠΥΘΑΓΟΡΕΙΑ ΦΙΛΟΣΟΦΙΑ ΚΑΙ Η ΑΝΑΚΑΛΥΨΗ ΑΣΥΜΜΕΤΡΩΝ ΜΕΓΕΘΩΝ ΓΕΝΙΚΟ ΛΥΚΕΙΟ ΣΚΑΛΑΣ ΕΡΕΥΝΗΤΙΚΗ ΕΡΓΑΣΙΑ Α ΛΥΚΕΙΟΥ ΤΙΤΛΟΣ ΕΡΓΑΣΙΑΣ: Η ΠΥΘΑΓΟΡΕΙΑ ΦΙΛΟΣΟΦΙΑ ΚΑΙ Η ΑΝΑΚΑΛΥΨΗ ΤΩΝ ΑΣΥΜΜΕΤΡΩΝ ΜΕΓΕΘΩΝ ΣΧΟΛΙΚΟ ΕΤΟΣ : 2011-12 i ΓΕΝΙΚΟ ΛΥΚΕΙΟ ΣΚΑΛΑΣ ΕΡΕΥΝΗΤΙΚΗ ΕΡΓΑΣΙΑ Α ΛΥΚΕΙΟΥ

Διαβάστε περισσότερα

Η αξιολόγηση των εκπαιδευτικών το Π.Δ 152/2013, του Γιώργου Καλημερίδη

Η αξιολόγηση των εκπαιδευτικών το Π.Δ 152/2013, του Γιώργου Καλημερίδη Η αξιολόγηση των εκπαιδευτικών το Π.Δ 152/2013, του Γιώργου Καλημερίδη Η εισήγηση μου χωρίζεται σε δύο μέρη. Θα κάνω μια μικρή εισαγωγή για την αξιολόγηση γενικά στη σημερινή συγκυρία και με βάση αυτό

Διαβάστε περισσότερα

ΕΠΙΧΕΙΡΗΜΑΤΙΚΟΥ ΣΧΕΔΙΟΥ

ΕΠΙΧΕΙΡΗΜΑΤΙΚΟΥ ΣΧΕΔΙΟΥ ΟΔΗΓΟΣ ΣΥΝΤΑΞΗΣ ΕΠΙΧΕΙΡΗΜΑΤΙΚΟΥ ΣΧΕΔΙΟΥ Για πτυχιούχους του Τμήματος Μηχανολογίας Συντάκτες: Μέλη του τακτικού εκπαιδευτικού προσωπικού του Τμήματος Διοίκησης Επιχειρήσεων Σέρρες, 2007 Περιεχόμενα Αυτοαξιολόγηση...

Διαβάστε περισσότερα

Έλλειψη εσωτερικής ελευθερίας

Έλλειψη εσωτερικής ελευθερίας Έλλειψη εσωτερικής ελευθερίας Ανωριμότητα Προκαταλήψεις- Στερεότυπα Απουσία ανθρωπιστικής παιδείας Ημιμάθεια Έλλειψη έμπρακτης χριστιανικής ζωής ΣΤΟΧΟΙ Να αρχίσουν να αναγνωρίζουν και να εκφράζουν τα δικά

Διαβάστε περισσότερα

Φλωρεντία, 10 Δεκεμβρίου 1513 Προς τον: ΦΡΑΓΚΙΣΚΟ ΒΕΤΤΟΡΙ, Πρέσβη της Φλωρεντίας στην Αγία Παπική Έδρα, Ρώμη. Εξοχώτατε Πρέσβη,

Φλωρεντία, 10 Δεκεμβρίου 1513 Προς τον: ΦΡΑΓΚΙΣΚΟ ΒΕΤΤΟΡΙ, Πρέσβη της Φλωρεντίας στην Αγία Παπική Έδρα, Ρώμη. Εξοχώτατε Πρέσβη, (Ο Νικολό Μακιαβέλι, μέσα από μία επιστολή του, περιγράφει την ζωή του στο κτήμα του, στο οποίο είχε αποτραβηχτεί, μετά το 1513 που οι Μεδίκοι ανακατέλαβαν την εξουσία.) Φλωρεντία, 10 Δεκεμβρίου 1513 Προς

Διαβάστε περισσότερα

Πρόγραμμα Κοινωνικών Δεξιοτήτων Δεκεμβρίου

Πρόγραμμα Κοινωνικών Δεξιοτήτων Δεκεμβρίου Πρόγραμμα Κοινωνικών Δεξιοτήτων Δεκεμβρίου www.asteria.edu.gr info@asteria.edu.gr www.facebook.com/omades.asteria Αγίου Δημητρίου 177 Άγιος Δημήτριος τηλ.: 6979651231-6986795031 Κυριακή 14 Δεκεμβρίου 2014

Διαβάστε περισσότερα

ΑΝΑΚΥΚΛΩΣΗ ΤΗΓΑΝΕΛΑΙΟΥ ΓΙΑΤΙ - ΠΩΣ - ΠΟΤΕ

ΑΝΑΚΥΚΛΩΣΗ ΤΗΓΑΝΕΛΑΙΟΥ ΓΙΑΤΙ - ΠΩΣ - ΠΟΤΕ ΑΝΑΚΥΚΛΩΣΗ ΤΗΓΑΝΕΛΑΙΟΥ ΓΙΑΤΙ - ΠΩΣ - ΠΟΤΕ Μετά το τηγάνι.το λάδι γίνεται τοξικό για τη θάλασσα το έδαφος τον υδροφόρο ορίζοντα για όλους μας!!! Tο χρησιµοποιηµένο λάδι ΕΝ το πετάµε στην αποχέτευση γιατί

Διαβάστε περισσότερα

ΕΚΘΕΣΗ ΓΙΑ ΤΗΝ ΠΑΡΑΝΟΜΗ ΙΑΚΙΝΗΣΗ ΑΝΘΡΩΠΩΝ

ΕΚΘΕΣΗ ΓΙΑ ΤΗΝ ΠΑΡΑΝΟΜΗ ΙΑΚΙΝΗΣΗ ΑΝΘΡΩΠΩΝ ΕΚΘΕΣΗ ΓΙΑ ΤΗΝ ΠΑΡΑΝΟΜΗ ΙΑΚΙΝΗΣΗ ΑΝΘΡΩΠΩΝ ηµοσιοποιείται από το Γραφείο Παρακολούθησης και Καταπολέµησης της Παράνοµης ιακίνησης Ανθρώπων 12 Ιουνίου 2007 ΕΙΣΑΓΩΓΗ Οι καταθέσεις των θυµάτων που περιλαµβάνονται

Διαβάστε περισσότερα

ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΑΣ «ΚΑΤΟΙΚΙΔΙΑ ΖΩΑ»

ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΑΣ «ΚΑΤΟΙΚΙΔΙΑ ΖΩΑ» ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΑΣ «ΚΑΤΟΙΚΙΔΙΑ ΖΩΑ» ΕΙΣΑΓΩΓΗ ΤΙΤΛΟΣ ΕΡΕΥΝΑΣ : Ποιο είναι το αγαπημένο ζώο των εφήβων? ΕΙΣΑΓΩΓΗ: Από τα αρχαία χρόνια οι άνθρωποι ανέπτυξαν μια ιδιαίτερη σχέση με τα ζώα. Τα χρησιμοποιούσαν

Διαβάστε περισσότερα

109(Ι)/2014 ΝΟΜΟΣ ΠΟΥ ΠΡΟΝΟΕΙ ΓΙΑ ΤΟ ΕΛΑΧΙΣΤΟ ΕΓΓΥΗΜΕΝΟ ΕΙΣΟΔΗΜΑ ΚΑΙ ΓΕΝΙΚΟΤΕΡΑ ΠΕΡΙ ΚΟΙΝΩΝΙΚΩΝ ΠΑΡΟΧΩΝ ΤΟΥ 2014 ΚΑΤΑΤΑΞΗ ΑΡΘΡΩΝ

109(Ι)/2014 ΝΟΜΟΣ ΠΟΥ ΠΡΟΝΟΕΙ ΓΙΑ ΤΟ ΕΛΑΧΙΣΤΟ ΕΓΓΥΗΜΕΝΟ ΕΙΣΟΔΗΜΑ ΚΑΙ ΓΕΝΙΚΟΤΕΡΑ ΠΕΡΙ ΚΟΙΝΩΝΙΚΩΝ ΠΑΡΟΧΩΝ ΤΟΥ 2014 ΚΑΤΑΤΑΞΗ ΑΡΘΡΩΝ 109(Ι)/2014 ΝΟΜΟΣ ΠΟΥ ΠΡΟΝΟΕΙ ΓΙΑ ΤΟ ΕΛΑΧΙΣΤΟ ΕΓΓΥΗΜΕΝΟ ΕΙΣΟΔΗΜΑ ΚΑΙ ΓΕΝΙΚΟΤΕΡΑ ΠΕΡΙ ΚΟΙΝΩΝΙΚΩΝ ΠΑΡΟΧΩΝ ΤΟΥ 2014 ΚΑΤΑΤΑΞΗ ΑΡΘΡΩΝ 1. Συνοπτικός τίτλος. 2. Ερμηνεία. 3. Μητρώο. 4. Υποβολή αίτησης. 5. Προϋποθέσεις

Διαβάστε περισσότερα

Πρακτικό 6/2012 της συνεδρίασης της Επιτροπής Ποιότητας Ζωής, του Δήμου Λήμνου, της 4ης Μαΐου 2012.

Πρακτικό 6/2012 της συνεδρίασης της Επιτροπής Ποιότητας Ζωής, του Δήμου Λήμνου, της 4ης Μαΐου 2012. Πρακτικό 6/2012 της συνεδρίασης της Επιτροπής Ποιότητας Ζωής, του Δήμου Λήμνου, της 4ης Μαΐου 2012. Στη Μύρινα, σήμερα στις 4 του μήνα Μαΐου του έτους 2012, ημέρα Παρασκευή και ώρα 12:00 στο Δημοτικό Κατάστημα

Διαβάστε περισσότερα

ΔΙΑΔΟΣΗ ΘΕΡΜΟΤΗΤΑΣ Φυσική Β' Γυμνασίου. Επιμέλεια: Ιωάννης Γιαμνιαδάκης

ΔΙΑΔΟΣΗ ΘΕΡΜΟΤΗΤΑΣ Φυσική Β' Γυμνασίου. Επιμέλεια: Ιωάννης Γιαμνιαδάκης ΔΙΑΔΟΣΗ ΘΕΡΜΟΤΗΤΑΣ Φυσική Β' Γυμνασίου Επιμέλεια: Ιωάννης Γιαμνιαδάκης Σύνδεση με προηγούμενο Μάθημα Στο κεφάλαιο Θερμότητα έχουμε μάθει: Τι είναι θερμότητα & θερμοκρασία μακροσκοπικά & μικροσκοπικά Μέτρηση

Διαβάστε περισσότερα

ΚΩΔΙΚΑΣ ΔΕΟΝΤΟΛΟΓΙΑΣ

ΚΩΔΙΚΑΣ ΔΕΟΝΤΟΛΟΓΙΑΣ ΚΩΔΙΚΑΣ ΔΕΟΝΤΟΛΟΓΙΑΣ Προοίμιο Ο κώδικας δεοντολογίας του ΕΣΠΕΜ σκοπό έχει να κρατήσει υψηλά το κύρος του επαγγέλματος του μουσικοθεραπευτή στην Ελλάδα, να διαφυλάξει τους θεραπευόμενους από τυχόν μη δεοντολογικές

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΗΛΕΚΤΡΟΝΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΑΙΤΗΣΕΩΝ ΜΕΤΑΤΑΞΕΩΝ ΕΚΠΑΙΔΕΥΤΙΚΩΝ. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Υπουργείο Παιδείας και Θρησκευμάτων

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΗΛΕΚΤΡΟΝΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΑΙΤΗΣΕΩΝ ΜΕΤΑΤΑΞΕΩΝ ΕΚΠΑΙΔΕΥΤΙΚΩΝ. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Υπουργείο Παιδείας και Θρησκευμάτων Διεύθυνση Λειτουργικής Ανάπτυξης Πληροφοριακών Συστημάτων ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΗΛΕΚΤΡΟΝΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΑΙΤΗΣΕΩΝ ΜΕΤΑΤΑΞΕΩΝ ΕΚΠΑΙΔΕΥΤΙΚΩΝ Έκδοση 1.0 Αύγουστος 2013 Υπουργείο Παιδείας και Θρησκευμάτων ΠΙΝΑΚΑΣ

Διαβάστε περισσότερα

H Εξωτερική Αξιολόγηση στο ΑΠΘ 2010 2014

H Εξωτερική Αξιολόγηση στο ΑΠΘ 2010 2014 H Εξωτερική Αξιολόγηση στο ΑΠΘ 2010 2014 Αναθεωρημένη έκδοση / Ιούλιος 2014 Γενική Εποπτεία Πρόεδρος ΜΟΔΙΠ-ΑΠΘ Καθηγήτρια Δέσπω Λιάλιου, Αναπληρώτρια Πρύτανη, Αντιπρύτανις Ακαδημαϊκών Υποθέσεων & Προσωπικού

Διαβάστε περισσότερα

ΤΟΠΙΚΟ ΣΧΕΔΙΟ ΔΙΑΧΕΙΡΙΣΗΣ ΑΠΟΒΛΗΤΩΝ ΔΗΜΟΥ ΗΡΑΚΛΕΙΟΥ

ΤΟΠΙΚΟ ΣΧΕΔΙΟ ΔΙΑΧΕΙΡΙΣΗΣ ΑΠΟΒΛΗΤΩΝ ΔΗΜΟΥ ΗΡΑΚΛΕΙΟΥ ΤΟΠΙΚΟ ΣΧΕΔΙΟ ΔΙΑΧΕΙΡΙΣΗΣ ΑΠΟΒΛΗΤΩΝ ΔΗΜΟΥ ΗΡΑΚΛΕΙΟΥ ΙΑΝΟΥΑΡΙΟΣ 2016 ΣΥΝΤΑΞΗ : ΠΕΡΙΕΧΟΜΕΝΑ 1 ΕΙΣΑΓΩΓΗ... 1 2 ΘΕΣΜΙΚΟ ΠΛΑΙΣΙΟ, ΑΡΜΟΔΙΟΤΗΤΕΣ ΤΩΝ ΔΗΜΩΝ ΚΑΙ ΠΡΟΒΛΗΜΑΤΑ... 2 2.1 ΙΕΡΑΡΧΗΣΗ ΣΤΗ ΔΙΑΧΕΙΡΙΣΗ ΑΠΟΒΛΗΤΩΝ...

Διαβάστε περισσότερα

Ατομικό ιστορικό νηπίου

Ατομικό ιστορικό νηπίου σημαντικές πληροφορίες στοιχεία επικοινωνίας Ατομικό ιστορικό νηπίου στοιχεία της προσωπικότητας του παιδιού Βοηθείστε μας να γνωρίσουμε καλύτερα το παιδί σας Όνομα Παιδιού: Συμπληρώστε με προσοχή και

Διαβάστε περισσότερα

ΟΙ ΜΙΚΡΟΜΕΣΑΙΕΣ ΕΠΙΧΕΙΡΗΣΕΙΣ ΣΤΗΝ ΕΛΛΑΔΑ ΚΑΙ Ο ΚΛΑΔΟΣ ΤΗΣ ΥΠΟΔΗΣΗΣ

ΟΙ ΜΙΚΡΟΜΕΣΑΙΕΣ ΕΠΙΧΕΙΡΗΣΕΙΣ ΣΤΗΝ ΕΛΛΑΔΑ ΚΑΙ Ο ΚΛΑΔΟΣ ΤΗΣ ΥΠΟΔΗΣΗΣ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΔΙΟΙΚΗΣΗΣ ΕΠΙΧΕΙΡΗΣΕΩΝ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΚΑΙ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗΣ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ ΣΤΗΝ ΕΦΑΡΜΟΣΜΕΝΗ ΛΟΓΙΣΤΙΚΗ ΚΑΙ ΕΛΕΓΚΤΙΚΗ Διπλωματική Εργασία ΟΙ ΜΙΚΡΟΜΕΣΑΙΕΣ ΕΠΙΧΕΙΡΗΣΕΙΣ

Διαβάστε περισσότερα

Εσωτερικοί Κανονισμοί Τοπικής Αυτοδιοίκησης

Εσωτερικοί Κανονισμοί Τοπικής Αυτοδιοίκησης Εσωτερικοί Κανονισμοί Τοπικής Αυτοδιοίκησης Καταστατικές Πρόνοιες και Εσωτερικοί Κανονισμοί που αφορούν τη Διεύθυνση Τοπικής Αυτοδιοίκησης, τις εκλογές Τοπικής Αυτοδιοίκησης και Σχολικών Εφορειών, τη λειτουργία

Διαβάστε περισσότερα

Ρόδος και Αίγυπτος : λίκνα ευεργετισμού. Ματούλα Τομαρά-Σιδέρη

Ρόδος και Αίγυπτος : λίκνα ευεργετισμού. Ματούλα Τομαρά-Σιδέρη Ρόδος και Αίγυπτος : λίκνα ευεργετισμού Ματούλα Τομαρά-Σιδέρη Ο 19 ος και οι αρχές του 20 ου αιώνα αντιπροσωπεύουν την «εποχή της αυτοκρατορίας», δηλαδή την παγκόσμια επέκταση του αποικιοκρατικού συστήματος

Διαβάστε περισσότερα

ΜΥΛΟΣ ΠΑΠΠΑ. ΥΛΙΚΟ ΓΙΑ ΤΟ ΥΠΟΕΡΓΟ 1 της πράξης «Πολιτιστικές εκπαιδευτικές δραστηριότητες στον Δήμο Λαρισαίων με διαδραστικό χαρακτήρα» (MIS 453635)

ΜΥΛΟΣ ΠΑΠΠΑ. ΥΛΙΚΟ ΓΙΑ ΤΟ ΥΠΟΕΡΓΟ 1 της πράξης «Πολιτιστικές εκπαιδευτικές δραστηριότητες στον Δήμο Λαρισαίων με διαδραστικό χαρακτήρα» (MIS 453635) ΜΥΛΟΣ ΠΑΠΠΑ ΥΛΙΚΟ ΓΙΑ ΤΟ ΥΠΟΕΡΓΟ 1 της πράξης «Πολιτιστικές εκπαιδευτικές δραστηριότητες στον Δήμο Λαρισαίων με διαδραστικό χαρακτήρα» (MIS 453635) Ταξίδι στον χρόνο περίπου 100 χρόνια πριν Λάρισα τέλη

Διαβάστε περισσότερα

ΚΟΥΡΙΟ-ΜΑΘΗΜΑ ΙΣΤΟΡΙΑΣ

ΚΟΥΡΙΟ-ΜΑΘΗΜΑ ΙΣΤΟΡΙΑΣ ΚΟΥΡΙΟ-ΜΑΘΗΜΑ ΙΣΤΟΡΙΑΣ ΣΤΕΦΑΝΟΣ ΑΧΙΛΛΕΩΣ Β`2 Καθηγήτρια: Μαρία Πουλιάου Χατζημιχαήλ 1 ΠΕΡΙΕΧΟΜΕΝΑ Γενική εισαγωγή...σελ.3 Ιστορική διαδρομή...σελ.4 Οικία Ευστόλιου...σελ.5 Θέατρο Κουρείου...σελ.6-7 Σεισμόπληκτη

Διαβάστε περισσότερα

Καλωσόρισμα επισήμων. Κυρίες και κύριοι,

Καλωσόρισμα επισήμων. Κυρίες και κύριοι, 1 Καλωσόρισμα επισήμων Κυρίες και κύριοι, Εκ μέρους των μελών του Διοικητικού μας συμβουλίου, σας καλωσορίσω στο 17 ο Ετήσιο Συνέδριο της Συνομοσπονδίας μας, μέσα από τις εργασίες του οποίου αισιοδοξούμε

Διαβάστε περισσότερα

Η ΔΙΔΑΣΚΑΛΙΑ ΤΗΣ ΘΡΗΣΚΕΙΑΣ ΣΤΟ ΟΥΔΕΤΕΡΟΘΡΗΣΚΟ ΣΧΟΛΕΙΟ (ΤΟΥ ΡΕΖΙΣ ΝΤΕΜΠΡΕ)

Η ΔΙΔΑΣΚΑΛΙΑ ΤΗΣ ΘΡΗΣΚΕΙΑΣ ΣΤΟ ΟΥΔΕΤΕΡΟΘΡΗΣΚΟ ΣΧΟΛΕΙΟ (ΤΟΥ ΡΕΖΙΣ ΝΤΕΜΠΡΕ) Η ΔΙΔΑΣΚΑΛΙΑ ΤΗΣ ΘΡΗΣΚΕΙΑΣ ΣΤΟ ΟΥΔΕΤΕΡΟΘΡΗΣΚΟ ΣΧΟΛΕΙΟ (ΤΟΥ ΡΕΖΙΣ ΝΤΕΜΠΡΕ) I Το Δεκέμβριο του 2001 ο Ζακ Λαγκ, Υπουργός Εθνικής Παιδείας της Γαλλίας ζήτησε από τον καθηγητή Ρεζίς Ντεμπρέ, το θεωρητικό ενδιαφέρον

Διαβάστε περισσότερα

ΑΚΡΟΒΑΤΗΣ-ΧΑΪΝΗΔΕΣ Οι Χαΐνηδες Ο Δημήτρης Αποστολάκης

ΑΚΡΟΒΑΤΗΣ-ΧΑΪΝΗΔΕΣ Οι Χαΐνηδες Ο Δημήτρης Αποστολάκης ΑΚΡΟΒΑΤΗΣ-ΧΑΪΝΗΔΕΣ 1. Έχω επιλέξει ένα τραγούδι τον που είναι μια δημιουργία των Χαΐνηδων. Οι Χαΐνηδες είναι ένα συγκρότημα από την Κρήτη που παίζουν έντεχνη και παραδοσιακή μουσική. Οι μουσική

Διαβάστε περισσότερα

ΚΕΝΤΡΟ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΚΑΙ ΟΙΚΟΝΟΜΙΚΩΝ ΕΡΕΥΝΩΝ ΑΜΕΡΙΚΗΣ 11, ΑΘΗΝΑ Τ.Κ. 10672, Τηλ. 210 3676400 Fax 210 3611136

ΚΕΝΤΡΟ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΚΑΙ ΟΙΚΟΝΟΜΙΚΩΝ ΕΡΕΥΝΩΝ ΑΜΕΡΙΚΗΣ 11, ΑΘΗΝΑ Τ.Κ. 10672, Τηλ. 210 3676400 Fax 210 3611136 ΚΕΝΤΡΟ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΚΑΙ ΟΙΚΟΝΟΜΙΚΩΝ ΕΡΕΥΝΩΝ ΑΜΕΡΙΚΗΣ 11, ΑΘΗΝΑ Τ.Κ. 10672, Τηλ. 210 3676400 Fax 210 3611136 Διεύθυνση Διοικητικού Αθήνα, 16.5.2014 Πληροφορίες: Χ. Νούνης Α.Π. 839/379 Διευθυντής Διοικητικού

Διαβάστε περισσότερα

ΕΞΩΣΧΟΛΙΚΟ ΛΟΓΟΤΕΧΝΙΚΟ ΑΝΑΓΝΩΣΜΑ. Νικόστρατος Ένα ξεχωριστό καλοκαίρι. Κωνσταντίνα Αντωνοπούλου Α2 Γυμνασίου

ΕΞΩΣΧΟΛΙΚΟ ΛΟΓΟΤΕΧΝΙΚΟ ΑΝΑΓΝΩΣΜΑ. Νικόστρατος Ένα ξεχωριστό καλοκαίρι. Κωνσταντίνα Αντωνοπούλου Α2 Γυμνασίου ΕΞΩΣΧΟΛΙΚΟ ΛΟΓΟΤΕΧΝΙΚΟ ΑΝΑΓΝΩΣΜΑ Νικόστρατος Ένα ξεχωριστό καλοκαίρι Κωνσταντίνα Αντωνοπούλου Α2 Γυμνασίου Λογοτεχνικό Εξωσχολικό Ανάγνωσμα Περιόδου Χριστουγέννων Τίτλος βιβλίου: «Νικόστρατος Ένα ξεχωριστό

Διαβάστε περισσότερα

Τίτλος Μαθήματος Ενότητα: Διαχείριση Σχολικής Τάξης

Τίτλος Μαθήματος Ενότητα: Διαχείριση Σχολικής Τάξης Τίτλος Μαθήματος Ενότητα: Διαχείριση Σχολικής Τάξης Ζαχαρούλα Σμυρναίου Σχολή Φιλοσοφίας Τμήμα Παιδαγωγικής και Ψυχολογίας Σελίδα 2 1. Κατάθλιψη... 4 2. Τύποι κατάθλιψης... 5 2.1 Λανθάνουσα Κατάθλιψη...

Διαβάστε περισσότερα

Απομόνωση χλωροφύλλης

Απομόνωση χλωροφύλλης Απομόνωση χλωροφύλλης Φυτικά κύτταρα Χλωροπλάστης Α Γυμνασίου Κεφάλαιο 2 Ενότητα 2.1 Σελ. 39-40 Γ Γυμνασίου Κεφάλαιο 2 Ενότητα 2.2 Σελ. 43-44 1 Εισαγωγή Οι αυτότροφοι οργανισμοί όπως τα φυτά, παράγουν

Διαβάστε περισσότερα

ΣΥΓΚΡΙΣΗ ΣΥΜΒΑΤΙΚΗΣ ΚΑΙ ΜΗΧΑΝΟΠΟΙΗΜΕΝΗΣ ΜΕΘΟΔΟΥ ΣΠΟΡΑΣ ΚΑΙ ΜΕΤΑΦΥΤΕΥΣΗΣ ΣΠΟΡΟΦΥΤΩΝ ΛΑΧΑΝΙΚΩΝ

ΣΥΓΚΡΙΣΗ ΣΥΜΒΑΤΙΚΗΣ ΚΑΙ ΜΗΧΑΝΟΠΟΙΗΜΕΝΗΣ ΜΕΘΟΔΟΥ ΣΠΟΡΑΣ ΚΑΙ ΜΕΤΑΦΥΤΕΥΣΗΣ ΣΠΟΡΟΦΥΤΩΝ ΛΑΧΑΝΙΚΩΝ Τ.Ε.Ι ΚΑΛΑΜΑΤΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΑΣ ΓΕΩΠΟΝΙΑΣ ΤΜΗΜΑ ΦΥΤΙΚΗΣ ΠΑΡΑΓΩΓΗΣ ΣΥΓΚΡΙΣΗ ΣΥΜΒΑΤΙΚΗΣ ΚΑΙ ΜΗΧΑΝΟΠΟΙΗΜΕΝΗΣ ΜΕΘΟΔΟΥ ΣΠΟΡΑΣ ΚΑΙ ΜΕΤΑΦΥΤΕΥΣΗΣ ΣΠΟΡΟΦΥΤΩΝ ΛΑΧΑΝΙΚΩΝ ΤΟΥ ΣΠΟΥΔΑΣΤΗ: ΑΝΤΩΝΙΟΣ X. ΚΩΝΣΤΑΣ ΚΑΛΑΜΑΤΑ

Διαβάστε περισσότερα

Το συνέδριο σας πραγματοποιείται σε μια εξαιρετικά δύσκολη συγκυρία για τον τόπο, την οικονομία της χώρας, την κοινωνία και τον κόσμο της εργασίας.

Το συνέδριο σας πραγματοποιείται σε μια εξαιρετικά δύσκολη συγκυρία για τον τόπο, την οικονομία της χώρας, την κοινωνία και τον κόσμο της εργασίας. ΧΑΙΡΕΤΙΣΜΟΣ του ΘΕΜΙΣΤΟΚΛΗ ΜΠΑΛΑΣΟΠΟΥΛΟΥ ΠΡΟΕΔΡΟΥ της ΕΚΤΕΛΕΣΤΙΚΗΣ ΕΠΙΤΡΟΠΗΣ της Π.Ο.Ε.-Ο.Τ.Α. στο ΤΑΚΤΙΚΟ ΣΥΝΕΔΡΙΟ της Κ.Ε.Δ.Ε. ΚΟΜΟΤΗΝΗ ΠΑΡΑΣΚΕΥΗ 27 ΙΑΝΟΥΑΡΙΟΥ 2012 Αγαπητοί Φίλοι, Θέλω εκ μέρους των

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΑΡΙΘΜΟΣ ΜΕΛΕΤΗΣ: 58/ 2014 ΝΟΜΟΣ ΘΕΣΠΡΩΤΙΑΣ ΔΗΜΟΣ ΗΓΟΥΜΕΝΙΤΣΑΣ Δ/ΝΣΗ ΤΕΧΝΙΚΩΝ ΥΠΗΡΕΣΙΩΝ ΜΕΛΕΤΗ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΑΡΙΘΜΟΣ ΜΕΛΕΤΗΣ: 58/ 2014 ΝΟΜΟΣ ΘΕΣΠΡΩΤΙΑΣ ΔΗΜΟΣ ΗΓΟΥΜΕΝΙΤΣΑΣ Δ/ΝΣΗ ΤΕΧΝΙΚΩΝ ΥΠΗΡΕΣΙΩΝ ΜΕΛΕΤΗ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΑΡΙΘΜΟΣ ΜΕΛΕΤΗΣ: 58/ 2014 ΝΟΜΟΣ ΘΕΣΠΡΩΤΙΑΣ ΔΗΜΟΣ ΗΓΟΥΜΕΝΙΤΣΑΣ Δ/ΝΣΗ ΤΕΧΝΙΚΩΝ ΥΠΗΡΕΣΙΩΝ ΜΕΛΕΤΗ ΕΡΓΑΣΙΑ : ΣΥΝΤΗΡΗΣΗ ΗΛΕΚΤΡΟΛΟΓΙΚΩΝ ΕΓΚΑΤΑΣΤΑΣΕΩΝ Δ.Ε ΗΓΟΥΜΕΝΙΤΣΑΣ ΠΡΟΫΠΟΛΟΓΙΣΜΟΣ ΕΡΓΑΣΙΑΣ

Διαβάστε περισσότερα

ΣΥΝΕΝΤΕΥΞΗ ΤΥΠΟΥ. Η ολοκληρωμένη προσέγγιση θα εφαρμοστεί με τα παρακάτω Εργαλεία

ΣΥΝΕΝΤΕΥΞΗ ΤΥΠΟΥ. Η ολοκληρωμένη προσέγγιση θα εφαρμοστεί με τα παρακάτω Εργαλεία ΣΥΝΕΝΤΕΥΞΗ ΤΥΠΟΥ ΕΙΣΑΓΩΓΗ Η κρίση υπερχρέωσης και οι πολιτικές δημοσιονομικής προσαρμογής ανέδειξαν τις διαρθρωτικές αδυναμίες της περιφερειακής οικονομίας και προκάλεσαν επιπτώσεις σε σχέση με την οικονομική

Διαβάστε περισσότερα

Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών

Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών Τμήμα Οικονομικών Επιστημών Σημειώσεις με θέμα «Πιστωτικοί Τίτλοι» Πιστωτικοί τίτλοι καλούνται τα έγγραφα εκείνα με τα οποία αποδεικνύεται τόσο η ύπαρξη της

Διαβάστε περισσότερα

ΠΟΛΗ ΚΑΙ ΧΩΡΟΣ ΑΠΟ ΤΟΝ 20 Ο ΣΤΟΝ 21 Ο ΑΙΩΝΑ

ΠΟΛΗ ΚΑΙ ΧΩΡΟΣ ΑΠΟ ΤΟΝ 20 Ο ΣΤΟΝ 21 Ο ΑΙΩΝΑ ΣΕΠΤΕΜΒΡΙΟΣ-ΟΚΤΩΒΡΙΟΣ 2005 ΤΕΧΝΙΚΑ ΧΡΟΝΙΚΑ 1 ΠΟΛΗ ΚΑΙ ΧΩΡΟΣ ΑΠΟ ΤΟΝ 20 Ο ΣΤΟΝ 21 Ο ΑΙΩΝΑ Α. ΠΑΡΟΥΣΙΑΣΗ ΕΚΔΟΣΗΣ ΑΠΟ ΤΟΥΣ ΕΠΙΜΕΛΗΤΕΣ ΤΟΥ ΤΟΜΟΥ ΓΕΩΡΓΙΟ ΣΑΡΗΓΙΑΝΝΗ Καθηγητή Ε.Μ.Π., Σχολή Αρχιτεκτόνων ΔΗΜΗΤΡΗ

Διαβάστε περισσότερα

Αριθμός αποφάσεως 5928/2010 ΤΟ ΠΟΛΥΜΕΛΕΣ ΠΡΩΤΟΔΙΚΕΙΟ ΠΕΙΡΑΙΑ (Διαδικασία Εκούσιας Δικαιοδοσίας)

Αριθμός αποφάσεως 5928/2010 ΤΟ ΠΟΛΥΜΕΛΕΣ ΠΡΩΤΟΔΙΚΕΙΟ ΠΕΙΡΑΙΑ (Διαδικασία Εκούσιας Δικαιοδοσίας) ΠΡΩΤΟΔΙΚΕΙΟ ΠΕΙΡΑΙΩΣ Αριθμός αποφάσεως 5928/2010 ΤΟ ΠΟΛΥΜΕΛΕΣ ΠΡΩΤΟΔΙΚΕΙΟ ΠΕΙΡΑΙΑ (Διαδικασία Εκούσιας Δικαιοδοσίας) Αποτελούμενο από τους Δικαστές Ελένη Τσίτσιου Πρόεδρο Πρωτοδικών, Κωνσταντίνα Λέκκου

Διαβάστε περισσότερα

ΙΕΘΝΗΣ ΣΥΜΒΑΣΗ ΕΡΓΑΣΙΑΣ 183 «για την αναθεώρηση της (αναθεωρηµένης) σύµβασης για την προστασία της µητρότητας,»

ΙΕΘΝΗΣ ΣΥΜΒΑΣΗ ΕΡΓΑΣΙΑΣ 183 «για την αναθεώρηση της (αναθεωρηµένης) σύµβασης για την προστασία της µητρότητας,» ΙΕΘΝΗΣ ΣΥΜΒΑΣΗ ΕΡΓΑΣΙΑΣ 183 «για την αναθεώρηση της (αναθεωρηµένης) σύµβασης για την προστασία της µητρότητας,» Η γενική Συνδιάσκεψη της ιεθνούς Οργάνωσης Εργασίας, που συγκλήθηκε στη Γενεύη από το ιοικητικό

Διαβάστε περισσότερα

ΠΡΟΒΛΗΜΑΤΑ ΤΗΣ ΔΗΜΟΣΙΑΣ ΖΩΗΣ, ΜΙΑ ΨΥΧΑΝΑΛΥΤΙΚΗ ΠΡΟΣΕΓΓΙΣΗ

ΠΡΟΒΛΗΜΑΤΑ ΤΗΣ ΔΗΜΟΣΙΑΣ ΖΩΗΣ, ΜΙΑ ΨΥΧΑΝΑΛΥΤΙΚΗ ΠΡΟΣΕΓΓΙΣΗ ΠΡΟΒΛΗΜΑΤΑ ΤΗΣ ΔΗΜΟΣΙΑΣ ΖΩΗΣ, ΜΙΑ ΨΥΧΑΝΑΛΥΤΙΚΗ ΠΡΟΣΕΓΓΙΣΗ Τα τελευταία χρόνια σημειώθηκε στην χώρα μας αισθητή άνοδος του βιοτικού επιπέδου και της κοινωνικής ευμάρειας. Παράλληλα όμως αυξήθηκαν τα προβλήματα

Διαβάστε περισσότερα

1. Εισαγωγή. 2. Καταπολέμηση της φοροδιαφυγής

1. Εισαγωγή. 2. Καταπολέμηση της φοροδιαφυγής Ενημερωτικό Σημείωμα για το Προσχέδιο Νόμου «Καταπολέμηση της φοροδιαφυγής, αναδιάρθρωση των φορολογικών υπηρεσιών και άλλες διατάξεις αρμοδιότητας υπουργείου οικονομικών» 25/1/2011 1. Εισαγωγή Το νέο

Διαβάστε περισσότερα

ΓΕΝΙΚΕΣ ΑΡΧΕΣ ΑΧΡΗΜΑΤΗΣ ΚΟΙΝΩΝΙΑΣ

ΓΕΝΙΚΕΣ ΑΡΧΕΣ ΑΧΡΗΜΑΤΗΣ ΚΟΙΝΩΝΙΑΣ ΓΕΝΙΚΕΣ ΑΡΧΕΣ ΑΧΡΗΜΑΤΗΣ ΚΟΙΝΩΝΙΑΣ Του Αλέκου Χαραλαμπόπουλου Η ιδιοκτησία στα μέσα παραγωγής και γενικότερα η ιδιοκτησία, η καταστρατήγηση των συνθηκών της αγοράς από τα ολιγοπώλια και τα ολιγοψώνια, η

Διαβάστε περισσότερα

Πρώτη διδακτική πρόταση Χρωματίζοντας ένα σκίτσο

Πρώτη διδακτική πρόταση Χρωματίζοντας ένα σκίτσο Κατανόηση προφορικού λόγου Επίπεδο Α (αρχάριο) Πρώτη διδακτική πρόταση Χρωματίζοντας ένα σκίτσο Ενδεικτική διάρκεια: Ομάδα-στόχος: Διδακτικός στόχος: Στρατηγικές: Υλικό: Ενσωμάτωση δεξιοτήτων: 1-2 διδακτικές

Διαβάστε περισσότερα

ΠΑΡΟΥΣΙΑΣΗ ΤΩΝ ΓΕΝΙΚΩΝ ΚΑΙ ΕΙΔΙΚΩΝ ΟΡΩΝ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ «ΑΣΦΑΛΩΣ ΚΑΤΟΙΚΕΙΝ» ΚΟΙΝΟΧΡΗΣΤΟΙ ΧΩΡΟΙ

ΠΑΡΟΥΣΙΑΣΗ ΤΩΝ ΓΕΝΙΚΩΝ ΚΑΙ ΕΙΔΙΚΩΝ ΟΡΩΝ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ «ΑΣΦΑΛΩΣ ΚΑΤΟΙΚΕΙΝ» ΚΟΙΝΟΧΡΗΣΤΟΙ ΧΩΡΟΙ ΠΑΡΟΥΣΙΑΣΗ ΤΩΝ ΓΕΝΙΚΩΝ ΚΑΙ ΕΙΔΙΚΩΝ ΟΡΩΝ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ «ΑΣΦΑΛΩΣ ΚΑΤΟΙΚΕΙΝ» ΚΟΙΝΟΧΡΗΣΤΟΙ ΧΩΡΟΙ ΓΕΝΙΚΟΙ ΟΡΟΙ ΑΡΘΡΟ 1. ΟΡΙΣΜΟΙ Αξία καινούργιου: Είναι το ποσό που απαιτείται για την ανακατασκευή του κτιρίου

Διαβάστε περισσότερα

1. Κώστα Κυριλή 2. Εμμανουέλα Μπουγά 3. Πόπη Δόγα. Η Επιτροπή, αφού έλαβε υπόψη:

1. Κώστα Κυριλή 2. Εμμανουέλα Μπουγά 3. Πόπη Δόγα. Η Επιτροπή, αφού έλαβε υπόψη: Στην Αθήνα σήμερα, 27 Ιουλίου 2012, συνήλθε η Επιτροπή Εξέτασης των Ενστάσεων που ορίστηκε με τη με αριθμ. Πρωτ. 492/25/18.07.2012 Απόφαση Διοικητικού Συμβουλίου Ι.ΝΕ.ΔΙ.ΒΙ.Μ., αποτελούμενη από τους: 1.

Διαβάστε περισσότερα

1.1 ΓΡΑΜΜΙΚΑ ΣΥΣΤΗΜΑΤΑ

1.1 ΓΡΑΜΜΙΚΑ ΣΥΣΤΗΜΑΤΑ ΓΡΑΜΜΙΚΗ ΕΞΙΣΩΣΗ 1.1 ΓΡΑΜΜΙΚΑ ΣΥΣΤΗΜΑΤΑ Η εξίσωση με και 0 ή 0 λέγεται γραμμική εξίσωση. Οι μεταβλητές είναι οι άγνωστοι της εξίσωσης αυτής. Οι αριθμοί λέγονται συντελεστές των αγνώστων της εξίσωσης ενώ

Διαβάστε περισσότερα

Η υποστήριξη της επαγγελματικής μάθησης μέσα από την έρευνα-δράση: διαδικασίες και αποτελέσματα

Η υποστήριξη της επαγγελματικής μάθησης μέσα από την έρευνα-δράση: διαδικασίες και αποτελέσματα Η υποστήριξη της επαγγελματικής μάθησης μέσα από την έρευνα-δράση: διαδικασίες και αποτελέσματα Σοφία Αυγητίδου Καθηγήτρια Παιδαγωγικής Εκπαίδευσης Εκπαιδευτικών Πανεπιστήμιο Δυτικής Μακεδονίας Δομή παρουσίασης

Διαβάστε περισσότερα

Η παρακμή του εργατικού κινήματος είναι μια διαδικασία που έχει ήδη διαρκέσει. πολλά χρόνια, τώρα ζούμε τα επεισόδια του τέλους της.

Η παρακμή του εργατικού κινήματος είναι μια διαδικασία που έχει ήδη διαρκέσει. πολλά χρόνια, τώρα ζούμε τα επεισόδια του τέλους της. Η παρακμή του εργατικού κινήματος είναι μια διαδικασία που έχει ήδη διαρκέσει πολλά χρόνια, τώρα ζούμε τα επεισόδια του τέλους της. 1 / 7 Αυτή η διαδικασία, φυσικά, δεν ήταν μια ευθεία πορεία από την ακμή

Διαβάστε περισσότερα

Για να αρχίσει η λειτουργία του κινητήρα, θα πρέπει με εξωτερική παροχή ισχύος να προκαλέσουμε την αρχική περιστροφή του.

Για να αρχίσει η λειτουργία του κινητήρα, θα πρέπει με εξωτερική παροχή ισχύος να προκαλέσουμε την αρχική περιστροφή του. Α. ΘΕΡΜΙΚΕΣ ΜΗΧΑΝΕΣ 2) ΜΗΧΑΝΕΣ ΕΣΩΤΕΡΙΚΗΣ ΚΑΥΣΗΣ ΑΕΡΙΟΣΤΡΟΒΙΛΟΣ ΑΕΡΙΟΣΤΡΟΒΙΛΟΣ Μία μάζα αέρα οδηγείται από το τμήμα εισαγωγής στο συμπιεστή. Εκεί συμπιέζεται και οδηγείται στο θάλαμο καύσης, όπου αναμιγνύεται

Διαβάστε περισσότερα

Αρωματικά φυτά της Ελλάδας

Αρωματικά φυτά της Ελλάδας Αρωματικά φυτά της Ελλάδας 1. ΣΤΟΧΟΙ ΕΡΓΑΣΙΑΣ Να ενημερωθούμε περί των αρωματικών φυτών της Ελλάδας. Να μάθουμε για τις θεραπευτικές τους ιδιότητες. Να μάθουμε τις τοποθεσίες που βρίσκονται. Να μάθουμε

Διαβάστε περισσότερα

ΑΠΟΦΑΣΗ 34750/2006 (Αριθμός καταθέσεως πράξεως 43170/2006) ΤΟ ΠΟΛΥΜΕΛΕΣ ΠΡΩΤΟΔΙΚΕΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΔΙΑΔΙΚΑΣΙΑ ΕΚΟΥΣΙΑΣ ΔΙΚΑΙΟΔΟΣΙΑΣ ΣΥΓΚΡΟΤΗΘΗΚΕ από

ΑΠΟΦΑΣΗ 34750/2006 (Αριθμός καταθέσεως πράξεως 43170/2006) ΤΟ ΠΟΛΥΜΕΛΕΣ ΠΡΩΤΟΔΙΚΕΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΔΙΑΔΙΚΑΣΙΑ ΕΚΟΥΣΙΑΣ ΔΙΚΑΙΟΔΟΣΙΑΣ ΣΥΓΚΡΟΤΗΘΗΚΕ από ΑΠΟΦΑΣΗ 34750/2006 (Αριθμός καταθέσεως πράξεως 43170/2006) ΤΟ ΠΟΛΥΜΕΛΕΣ ΠΡΩΤΟΔΙΚΕΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΔΙΑΔΙΚΑΣΙΑ ΕΚΟΥΣΙΑΣ ΔΙΚΑΙΟΔΟΣΙΑΣ ΣΥΓΚΡΟΤΗΘΗΚΕ από τους Δικαστές Κυριάκο Μπαμπαλίδη, Πρόεδρο Πρωτοδικών,

Διαβάστε περισσότερα

«Συλλογή, μεταφορά και διαχείριση επικίνδυνων στερεών αποβλήτων της Γ.Μ.Μ.Α.Ε. ΛΑΡΚΟ»

«Συλλογή, μεταφορά και διαχείριση επικίνδυνων στερεών αποβλήτων της Γ.Μ.Μ.Α.Ε. ΛΑΡΚΟ» Διακήρυξη για την: «Συλλογή, μεταφορά και διαχείριση επικίνδυνων στερεών αποβλήτων της Γ.Μ.Μ.Α.Ε. ΛΑΡΚΟ» Διακήρυξη Νο: Ε140038 Η Γ.Μ.Μ.Α.Ε. ΛΑΡΚΟ («Εταιρία») προκηρύσσει μειοδοτικό διαγωνισμό με σφραγισμένες

Διαβάστε περισσότερα

ΚΑΝΟΝΙΣΜΟΣ ΛΕΙΤΟΥΡΓΙΑΣ

ΚΑΝΟΝΙΣΜΟΣ ΛΕΙΤΟΥΡΓΙΑΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕΔΟΝΙΑΣ ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΕΡΓΟ ΕΠΕΑΕΚ: «ΑΝΑΜΟΡΦΩΣΗ ΠΡΟΠΤΥΧΙΑΚΩΝ ΠΡΟΓΡΑΜΜΑΤΩΝ ΣΠΟΥΔΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΜΑΚΕΔΟΝΙΑΣ» ΥΠΟΕΡΓΟ «ΚΕΝΤΡΙΚΕΣ ΔΡΑΣΕΙΣ ΑΥΤΕΠΙΣΤΑΣΙΑ» ΔΡΑΣΗ 6: ΚΕΝΤΡΟ

Διαβάστε περισσότερα

ΑΝΑΝΕΩΣΙΜΕΣ ΠΗΓΕΣ ΕΝΕΡΓΕΙΑΣ (Α.Π.Ε)

ΑΝΑΝΕΩΣΙΜΕΣ ΠΗΓΕΣ ΕΝΕΡΓΕΙΑΣ (Α.Π.Ε) ΑΝΑΝΕΩΣΙΜΕΣ ΠΗΓΕΣ ΕΝΕΡΓΕΙΑΣ (Α.Π.Ε) Οι Ανανεώσιμες Πηγές Ενέργειας (Α.Π.Ε) θεωρούνται ανεξάντλητες. Στην κατηγορία αυτή, ανήκουν ο ήλιος, ο άνεμος, τα ποτάμια, οι οργανικές ύλες όπως το ξύλο και τα απορρίμματα

Διαβάστε περισσότερα

ΕΡΓΑΣΙΑ ΣΤΗ ΝΕΟΕΛΛΗΝΙΚΗ ΛΟΓΟΤΕΧΝΙΑ

ΕΡΓΑΣΙΑ ΣΤΗ ΝΕΟΕΛΛΗΝΙΚΗ ΛΟΓΟΤΕΧΝΙΑ ΕΡΓΑΣΙΑ ΣΤΗ ΝΕΟΕΛΛΗΝΙΚΗ ΛΟΓΟΤΕΧΝΙΑ ΤΑ ΦΥΛΑ ΣΤΗ ΛΟΓΟΤΕΧΝΙΑ ΤΜΗΜΑ Α1 ΣΧΟΛΙΚΟ ΕΤΟΣ 2012-2013 Τα ψάθινα καπέλα, Μαργαρίτα Λυμπεράκη (απόσπασμα) Ερώτηση 1 η Περίληψη -(Κατερίνα Ζουρλαδάνη) Το κείμενο μας, αναφέρεται

Διαβάστε περισσότερα

11. Προϋπολογισμός 11.1. Προϋπολογισμός και αποδοτικότητα δημοσίων υπηρεσιών: υφιστάμενη κατάσταση

11. Προϋπολογισμός 11.1. Προϋπολογισμός και αποδοτικότητα δημοσίων υπηρεσιών: υφιστάμενη κατάσταση 11. Προϋπολογισμός 11.1. Προϋπολογισμός και αποδοτικότητα δημοσίων υπηρεσιών: υφιστάμενη κατάσταση Το σύστημα σχεδιασμού και εκτέλεσης του κρατικού προϋπολογισμού, αποτελεί μία βασική παράμετρο προώθησης

Διαβάστε περισσότερα

ΒΑΣΙΚΗ ΕΚΠΑΙΔΕΥΣΗ. Οδηγός Οργάνωσης και Λειτουργίας ΕΚΔΟΣΗ 1.0

ΒΑΣΙΚΗ ΕΚΠΑΙΔΕΥΣΗ. Οδηγός Οργάνωσης και Λειτουργίας ΕΚΔΟΣΗ 1.0 ΒΑΣΙΚΗ ΕΚΠΑΙΔΕΥΣΗ Οδηγός Οργάνωσης και Λειτουργίας ΕΚΔΟΣΗ 1.0 ΑΠΡΙΛΙΟΣ 2014 ΒΑΣΙΚΗ ΕΚΠΑΙΔΕΥΣΗ Οδηγός Οργάνωσης και Λειτουργίας Περιεχόμενα Εισαγωγή Σκοπός Απαιτούμενες Γνώσεις Μορφή της Εκπαίδευσης Σχολή

Διαβάστε περισσότερα

Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν

Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν μικρομεσαίες επιχειρήσεις και ελευθέρους επαγγελματίες. Τονίζεται ότι τα προγράμματα είναι σε προδημοσίευση. Με τη δημοσίευση της

Διαβάστε περισσότερα

...ακολουθώντας τη ροή... ένα ημερολόγιο εμψύχωσης

...ακολουθώντας τη ροή... ένα ημερολόγιο εμψύχωσης ...ακολουθώντας τη ροή... ένα ημερολόγιο εμψύχωσης Κυριακή 9 Αυγούστου 2015 Αγαπητό μου ημερολόγιο Δυσκολεύομαι να προσαρμοστώ, από χθες που έχουμε έρθει στη κατασκήνωση ασχολούμαστε με τη γνωριμία με

Διαβάστε περισσότερα

στο ΕΣΠΑ του έργου ανέγερσης του 4ου Λυκείου Κέρκυρας.

στο ΕΣΠΑ του έργου ανέγερσης του 4ου Λυκείου Κέρκυρας. Η ένταξη στο ΕΣΠΑ του έργου ανέγερσης του 4ου Λυκείου Κέρκυρας. Δευτέρα, 06 Φεβρουαρίου 2012 Ο Περιφερειάρχης Ιονίων Νήσων, Σπύρος Σπύρου, υπέγραψε την ένταξη στο ΕΣΠΑ του έργου ανέγερσης του 4ου Λυκείου

Διαβάστε περισσότερα

Kεντρικός συντονισμός πολιτικών, μόνιμοι υφυπουργοί, μείωση ειδικών συμβούλων, κατάργηση αναπληρωτών.

Kεντρικός συντονισμός πολιτικών, μόνιμοι υφυπουργοί, μείωση ειδικών συμβούλων, κατάργηση αναπληρωτών. ΣΥΝΤΑΓΜΑ Αν η παρούσα Βουλή διαλυθεί χωρίς προηγουμένως να κινήσει την διαδικασία για την αναθεώρηση του Συντάγματος, θα έχουμε άλλη μια απόδειξη ότι το πολιτικό σύστημα δεν έχει επαφή με την πραγματικότητα.

Διαβάστε περισσότερα

ΑΥΓΟΥΣΤΟΣ 2008 ΥΠΟΜΝΗΜΑ ΤΗΣ ΚΕΝΤΡΙΚΗΣ ΕΝΩΣΗΣ ΕΠΙΜΕΛΗΤΗΡΙΩΝ ΕΛΛΑΔΟΣ ΑΡΧΗΓΟ ΤΗΣ ΑΞΙΩΜΑΤΙΚΗΣ ΑΝΤΙΠΟΛΙΤΕΥΣΗΣ ΚΑΙ ΠΡΟΕΔΡΟ ΤΟΥ ΠΑΣΟΚ

ΑΥΓΟΥΣΤΟΣ 2008 ΥΠΟΜΝΗΜΑ ΤΗΣ ΚΕΝΤΡΙΚΗΣ ΕΝΩΣΗΣ ΕΠΙΜΕΛΗΤΗΡΙΩΝ ΕΛΛΑΔΟΣ ΑΡΧΗΓΟ ΤΗΣ ΑΞΙΩΜΑΤΙΚΗΣ ΑΝΤΙΠΟΛΙΤΕΥΣΗΣ ΚΑΙ ΠΡΟΕΔΡΟ ΤΟΥ ΠΑΣΟΚ ΑΥΓΟΥΣΤΟΣ 2008 ΥΠΟΜΝΗΜΑ ΤΗΣ ΚΕΝΤΡΙΚΗΣ ΕΝΩΣΗΣ ΕΠΙΜΕΛΗΤΗΡΙΩΝ ΕΛΛΑΔΟΣ ΠΡΟΣ κ. ΓΕΩΡΓΙΟ ΠΑΠΑΝΔΡΕΟΥ ΑΡΧΗΓΟ ΤΗΣ ΑΞΙΩΜΑΤΙΚΗΣ ΑΝΤΙΠΟΛΙΤΕΥΣΗΣ ΚΑΙ ΠΡΟΕΔΡΟ ΤΟΥ ΠΑΣΟΚ Θέμα: Θέσεις της ΚΕΕΕ για την ελληνική οικονομία

Διαβάστε περισσότερα

ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΔΙΑΓΝΩΣΗ ΑΝΑΓΚΩΝ ΣΕ ΠΕΡΙΦΕΡΕΙΑΚΟ ΕΠΙΠΕΔΟ

ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΔΙΑΓΝΩΣΗ ΑΝΑΓΚΩΝ ΣΕ ΠΕΡΙΦΕΡΕΙΑΚΟ ΕΠΙΠΕΔΟ ΥΠΟ ΤΗΝ ΕΠΟΠΤΕΙΑ ΤΟΥ ΥΠΟΥΡΓΕΙΟΥ ΕΡΓΑΣΙΑΣ, ΚΟΙΝΩΝΙΚΗΣ ΑΣΦΑΛΙΣΗΣ ΚΑΙ ΚΟΙΝΩΝΙΚΗΣ ΑΛΛΗΛΕΓΓΥΗΣ ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΔΙΑΓΝΩΣΗ ΑΝΑΓΚΩΝ ΣΕ ΠΕΡΙΦΕΡΕΙΑΚΟ ΕΠΙΠΕΔΟ ΔΕΚΕΜΒΡΙΟΣ 2015 ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ

Διαβάστε περισσότερα

ΠΤΥΧΕΣ ΤΟΥ ΣΥΓΧΡΟΝΟΥ ΦΑΙΝΟΜΕΝΟΥ ΤΗΣ ΖΩΟΛΑΤΡΙΑΣ! ΛΑΜΠΡΟΥ Κ. ΣΚΟΝΤΖΟΥ Θεολόγου - καθηγητού Δε χρειάζεται να είναι κάποιος ειδικός για να διαπιστώσει

ΠΤΥΧΕΣ ΤΟΥ ΣΥΓΧΡΟΝΟΥ ΦΑΙΝΟΜΕΝΟΥ ΤΗΣ ΖΩΟΛΑΤΡΙΑΣ! ΛΑΜΠΡΟΥ Κ. ΣΚΟΝΤΖΟΥ Θεολόγου - καθηγητού Δε χρειάζεται να είναι κάποιος ειδικός για να διαπιστώσει ΠΤΥΧΕΣ ΤΟΥ ΣΥΓΧΡΟΝΟΥ ΦΑΙΝΟΜΕΝΟΥ ΤΗΣ ΖΩΟΛΑΤΡΙΑΣ! ΛΑΜΠΡΟΥ Κ. ΣΚΟΝΤΖΟΥ Θεολόγου - καθηγητού Δε χρειάζεται να είναι κάποιος ειδικός για να διαπιστώσει την τραγικότητα του σημερινού ανθρώπου, η οποία οφείλεται

Διαβάστε περισσότερα

KATATAΞH APΘPΩN. 6. Αρχές της προσφοράς και προμήθειας, ανθρώπινων ιστών και/ ή κυττάρων

KATATAΞH APΘPΩN. 6. Αρχές της προσφοράς και προμήθειας, ανθρώπινων ιστών και/ ή κυττάρων Ο ΠΕΡΙ ΠΡΟΤΥΠΩΝ ΠΟΙΟΤΗΤΑΣ ΚΑΙ ΑΣΦΑΛΕΙΑΣ (ΔΩΡΕΑ, ΠΡΟΜΗΘΕΙΑ, ΕΛΕΓΧΟΣ, ΕΠΕΞΕΡΓΑΣΙΑ, ΣΥΝΤΗΡΗΣΗ, ΑΠΟΘΗΚΕΥΣΗ ΚΑΙ ΔΙΑΝΟΜΗ) ΑΝΘΡΩΠΙΝΩΝ ΙΣΤΩΝ, ΚΥΤΤΑΡΩΝ ΚΑΙ ΠΑΡΑΓΩΓΩΝ ΠΡΟΪΟΝΤΩΝ ΝΟΜΟΣ ΤΟΥ 2007 ---------------------------------

Διαβάστε περισσότερα

& ../../.. 37, 151 80 :.. :... ... FAX :... & e-mail: :...

&  ../../..   37, 151 80   :.. :...  ...    FAX :... &  e-mail: :... Να διατηρηθεί µέχρι... ΕΛΛΗΝΙΚΗ ΗΜΟΚΡΑΤΙΑ Βαθµός Ασφαλείας ΥΠΟΥΡΓΕΙΟ ΕΘΝ.ΠΑΙ ΕΙΑΣ & ΘΡΗΣΚΕΥΜΑΤΩΝ ΕΝΙΑΙΟΣ ΙΟΙΚΗΤΙΚΟΣ ΤΟΜΕΑΣ Αθήνα../../.. Π/ΘΜΙΑΣ ΚΑΙ /ΘΜΙΑΣ ΕΚΠΑΙ ΕΥΣΗΣ /ΝΣΗ ΣΠΟΥ ΩΝ /ΘΜΙΑΣ ΕΚΠΑΙ ΕΥΣΗΣ Αριθ.

Διαβάστε περισσότερα

ΔΙΕΡΕΥΝΗΣΗ ΛΕΙΤΟΥΡΓΙΑΣ ΟΡΓΑΝΩΜΕΝΩΝ ΧΩΡΩΝ ΣΤΑΘΜΕΥΣΗΣ ΔΙΚΥΚΛΩΝ ΣΤΟ ΚΕΝΤΡΟ ΤΗΣ ΘΕΣΣΑΛΟΝΙΚΗΣ

ΔΙΕΡΕΥΝΗΣΗ ΛΕΙΤΟΥΡΓΙΑΣ ΟΡΓΑΝΩΜΕΝΩΝ ΧΩΡΩΝ ΣΤΑΘΜΕΥΣΗΣ ΔΙΚΥΚΛΩΝ ΣΤΟ ΚΕΝΤΡΟ ΤΗΣ ΘΕΣΣΑΛΟΝΙΚΗΣ Αριστοτέλειο Πανεπιστήμιο Θεσσαλονίκης Πολυτεχνική Σχολή Τμήμα Αγρονόμων και Τοπογράφων Μηχανικών Πρόγραμμα Μεταπτυχιακών Σπουδών: Τεχνικές και Μέθοδοι στην Ανάλυση, Σχεδιασμό και Διαχείριση του Χώρου

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ (Τ.Ε.Ι.Κ.) ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΑΣ ΓΕΩΠΟΝΙΑΣ (ΣΤΕΓ) ΤΜΗΜΑ ΦΥΤΙΚΗΣ ΠΑΡΑΓΩΓΗΣ (Φ.Π.) ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΜΕ ΘΕΜΑ:

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ (Τ.Ε.Ι.Κ.) ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΑΣ ΓΕΩΠΟΝΙΑΣ (ΣΤΕΓ) ΤΜΗΜΑ ΦΥΤΙΚΗΣ ΠΑΡΑΓΩΓΗΣ (Φ.Π.) ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΜΕ ΘΕΜΑ: ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ (Τ.Ε.Ι.Κ.) ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΑΣ ΓΕΩΠΟΝΙΑΣ (ΣΤΕΓ) ΤΜΗΜΑ ΦΥΤΙΚΗΣ ΠΑΡΑΓΩΓΗΣ (Φ.Π.) ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΜΕ ΘΕΜΑ: «Συγκριτική αξιολόγηση μεθόδων συλλογής ελαιοκάρπου και

Διαβάστε περισσότερα

Βουλευτικές Εκλογές 2011

Βουλευτικές Εκλογές 2011 Πολίτης ή πελάτης; Είναι αλήθεια, ότι το πολιτικό σύστημα αποτυγχάνει σημαντικά να ανταποκριθεί στις σημερινές προκλήσεις. Το ρουσφέτι, η αναξιοκρατία, η συναλλαγή και τα παζάρια, απαξιώνουν την πολιτική.

Διαβάστε περισσότερα

Περιβάλλον και Ανάπτυξη ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ. Γραμματικογιάννης Α. Ηλίας. Επιβλέπων: Καθηγητής Δ. Ρόκος

Περιβάλλον και Ανάπτυξη ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ. Γραμματικογιάννης Α. Ηλίας. Επιβλέπων: Καθηγητής Δ. Ρόκος ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΔΙΕΠΙΣΤΗΜΟΝΙΚΟ - ΔΙΑΤΜΗΜΑΤΙΚΟ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ (Δ.Π.Μ.Σ.) "ΠΕΡΙΒΑΛΛΟΝ ΚΑΙ ΑΝΑΠΤΥΞΗ" Η ΦΤΩΧΕΙΑ Γραμματικογιάννης Α. Ηλίας Εργασία η οποία υποβάλλεται στο πλαίσιο

Διαβάστε περισσότερα