Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download ""

Transcript

1 ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΦΥΣΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΣΧΕ ΙΑΣΜΟΣ ΚΑΙ ΜΕΛΕΤΗ ΑΝΙΧΝΕΥΤΗ ΦΑΣΗΣ-ΣΥΧΝΟΤΗΤΑΣ ΤΗΣ ΒΟΥΛΚΙ ΟΥ ΑΝ ΡΙΑΝΑ ΘΕΣΣΑΛΟΝΙΚΗ 06/03/09 ΕΠΟΠΤΕΥΩΝ ΚΑΘΗΓΗΤΗΣ Κ. ΣΙΣΚΟΣ ΣΤΥΛΙΑΝΟΣ i

2 ΠΕΡΙΛΗΨΗ Σκοπός της συγκεκριµένης εργασίας είναι ο σχεδιασµός και η µελέτη τριών ανιχνευτών φάσης-συχνότητας. Ο σχεδιασµός τους έγινε µε τεχνολογία UMC 0.18µm CMOS. Ο ανιχνευτής φάσης-συχνότητας παρουσιάζει ιδιαίτερο ενδιαφέρον διότι έχει την δυνατότητα να ανιχνεύσει την διαφορά όχι µόνο της φάσης αλλά και της συχνότητας των σηµάτων που δέχεται στην είσοδό του. Εξαιτίας αυτής της ιδιότητάς του χρησιµοποιείται ευρέως σε συνθέτες συχνότητας και αποτελεί αναπόσπαστο κοµµάτι του βρόχου κλειδώµατος φάσης. Στο πρώτο κεφάλαιο εξετάζεται η λειτουργία του βασικού βρόχου κλειδώµατος φάσης και παραθέτονται οι προϋποθέσεις υπό τις οποίες ο βρόχος θεωρείται κλειδωµένος. Με την βοήθεια των κυµατοµορφών γίνεται ακόµη πιο κατανοητή η συµπεριφορά του βρόχου κατά την διάρκεια που είναι κλειδωµένος. Ακόµα αναλύεται η απόκριση του συστήµατος στον θόρυβο φάσης. Στο δεύτερο κεφάλαιο αρχικά περιγράφεται λεπτοµερώς το κάθε στοιχείο από τα οποία αποτελείται ο βρόχος κλειδώµατος φάσης δηλαδή ο ανιχνευτής φάσης, το χαµηλοπερατό φίλτρο, ο ταλαντωτής ελεγχόµενος από τάση και ο διαιρέτης συχνότητας. Στη συνέχεια αναφέρονται δυο δοµές του βρόχου κλειδώµατος φάσης που χρησιµοποιούνται ως εξελιγµένοι τύποι σε συστήµατα υψηλών απαιτήσεων. Στο τρίτο κεφάλαιο εξετάζονται τα είδη των ανιχνευτών φάσης. Έτσι αναφέρεται ο αναλογικός ανιχνευτής φάσης, η λογική πύλη EXOR και ένα flip-flop ως ανιχνευτής φάσης και τέλος ο ανιχνευτής φάσης-συχνότητας. Στο τέταρτο και πέµπτο κεφάλαιο αναλύονται οι ανιχνευτές φάσηςσυχνότητας που σχεδιάστηκαν για τον σκοπό της συγκεκριµένης άσκησης. Τα συγκεκριµένα κυκλώµατα εξετάζονται ως προς τη κατανάλωση, τους χρόνους απόκρισης των εξόδων τους στις αντίστοιχες αλλαγές των εισόδων τους, το εύρος της νεκρής ζώνης (dead zone), τον θόρυβο φάσης και τον θόρυβο στην έξοδο. Με την βοήθεια του προγράµµατος Cadence, έχουν προσοµοιωθεί και οι τρεις ανιχνευτές φάσης-συχνότητας και τα αποτελέσµατα της προσοµοίωσης αναλύονται στα συγκεκριµένα κεφάλαια. Στο έκτο κεφάλαιο συγκρίνονται τα αποτελέσµατα των µετρήσεων και προκύπτουν συµπεράσµατα για το ποιο κύκλωµα πετυχαίνει τις καλύτερες επιδόσεις. ii

3 Στο έβδοµο κεφάλαιο παρουσιάζεται το Layout ενός από τους τρεις ανιχνευτές φάσης-συχνότητας. Τέλος θα ήθελα προσωπικά να ευχαριστήσω θερµά τον καθηγητή µου κ. Σίσκο Στυλιανό για την καθοδήγηση και την υποστήριξή του καθ όλη τη διάρκεια της εργασίας και για την ευκαιρία που µου έδωσε να συνεργαστώ µαζί του. Καθώς επίσης και τον υποψήφιο διδάκτορα Βασίλη Καλεντερίδη για την πολύτιµη βοήθειά του. iii

4 ΠΕΡΙΛΗΨΗ Σκοπός της συγκεκριµένης εργασίας είναι ο σχεδιασµός και η µελέτη τριών ανιχνευτών φάσης-συχνότητας. Ο σχεδιασµός τους έγινε µε τεχνολογία UMC 0.18µm CMOS. Ο ανιχνευτής φάσης-συχνότητας παρουσιάζει ιδιαίτερο ενδιαφέρον διότι έχει την δυνατότητα να ανιχνεύσει την διαφορά όχι µόνο της φάσης αλλά και της συχνότητας των σηµάτων που δέχεται στην είσοδό του. Εξαιτίας αυτής της ιδιότητάς του χρησιµοποιείται ευρέως σε συνθέτες συχνότητας και αποτελεί αναπόσπαστο κοµµάτι του βρόχου κλειδώµατος φάσης. Στο πρώτο κεφάλαιο εξετάζεται η λειτουργία του βασικού βρόχου κλειδώµατος φάσης και παραθέτονται οι προϋποθέσεις υπό τις οποίες ο βρόχος θεωρείται κλειδωµένος. Με την βοήθεια των κυµατοµορφών γίνεται ακόµη πιο κατανοητή η συµπεριφορά του βρόχου κατά την διάρκεια που είναι κλειδωµένος. Ακόµα αναλύεται η απόκριση του συστήµατος στον θόρυβο φάσης. Στο δεύτερο κεφάλαιο αρχικά περιγράφεται λεπτοµερώς το κάθε στοιχείο από τα οποία αποτελείται ο βρόχος κλειδώµατος φάσης δηλαδή ο ανιχνευτής φάσης, το χαµηλοπερατό φίλτρο, ο ταλαντωτής ελεγχόµενος από τάση και ο διαιρέτης συχνότητας. Στη συνέχεια αναφέρονται δυο δοµές του βρόχου κλειδώµατος φάσης που χρησιµοποιούνται ως εξελιγµένοι τύποι σε συστήµατα υψηλών απαιτήσεων. Στο τρίτο κεφάλαιο εξετάζονται τα είδη των ανιχνευτών φάσης. Έτσι αναφέρεται ο αναλογικός ανιχνευτής φάσης, η λογική πύλη EXOR και ένα flip-flop ως ανιχνευτής φάσης και τέλος ο ανιχνευτής φάσης-συχνότητας. Στο τέταρτο και πέµπτο κεφάλαιο αναλύονται οι ανιχνευτές φάσηςσυχνότητας που σχεδιάστηκαν για τον σκοπό της συγκεκριµένης άσκησης. Τα συγκεκριµένα κυκλώµατα εξετάζονται ως προς τη κατανάλωση, τους χρόνους απόκρισης των εξόδων τους στις αντίστοιχες αλλαγές των εισόδων τους, το εύρος της νεκρής ζώνης (dead zone), τον θόρυβο φάσης και τον θόρυβο στην έξοδο. Με την βοήθεια του προγράµµατος Cadence, έχουν προσοµοιωθεί και οι τρεις ανιχνευτές φάσης-συχνότητας και τα αποτελέσµατα της προσοµοίωσης αναλύονται στα συγκεκριµένα κεφάλαια. Στο έκτο κεφάλαιο συγκρίνονται τα αποτελέσµατα των µετρήσεων και προκύπτουν συµπεράσµατα για το ποιο κύκλωµα πετυχαίνει τις καλύτερες επιδόσεις. Στο έβδοµο κεφάλαιο παρουσιάζεται το Layout ενός από τους τρεις ανιχνευτές φάσης-συχνότητας. iv

5 Τέλος θα ήθελα προσωπικά να ευχαριστήσω θερµά τον καθηγητή µου κ. Σίσκο Στυλιανό για την καθοδήγηση και την υποστήριξή του καθ όλη τη διάρκεια της εργασίας και για την ευκαιρία που µου έδωσε να συνεργαστώ µαζί του. v

6 ABSTRACT A Phase Frequency Detector (PFD) is proposed in this paper. The design is used to be implemented for a phase lock loop (PLL). The schematic designs of the circuit are carried out using UMC 0.18µm CMOS technology. At the first and second chapter the function and the kind of the phase lock loop circuit are analyzed. The great demand of high quality systems made this loop so famous and more and more advanced PLLs are created. Two of them are mentioned in these chapters. Three phase frequency detectors are proposed in this paper to show the effect of the dead zone and the time, which need to correspond in a signal. The main purpose of these three PFD is to achieve low power consumption and a high maximum operating frequency. Next this paper will cover the subject of the phase noise and the output noise of the three designs. The spectrum of the noise will be showed by diagrams, which are the simulation results. The simulations was carried out to check the performance of the circuit not only in typical situation but also in nontypical like different temperature and delays. The last chapter will show a layout of one of the three phase frequency detectors. At the end, I would like to thank my advisor Profesor Siskos Stilianos, who gave me the opportunity to work with him, in addition to supplying me with guidance and support. vi

7 ΠΕΡΙΕΧΟΜΕΝΑ 1 ΕΙΣΑΓΩΓΗ Περιγραφή Του Συστήµατος Phase Lock Loop (PLL) ΒΑΣΙΚΑ ΣΤΟΙΧΕΙΑ ΤΟΥ PLL Ανιχνευτής Φάσης Χαµηλοπερατό Φίλτρο Ταλαντωτής Ελεγχόµενος Από Τάση (VCO) ιαιρέτης Συχνότητας (frequency divider) Εξελιγµένα PLL Ως Συνθέτες Συχνότητας Integer-N συνθέτης συχνότητας Fractional-n συνθέτης συχνότητας ΑΝΑΛΥΤΙΚΗ ΠΕΡΙΓΡΑΦΗ ΤΟΥ ΑΝΙΧΝΕΥΤΗ ΦΑΣΗΣ Αναλογικός Ανιχνευτής Φάσης Λογική Πύλη Εχοr Flipflop ως Ανιχνευτής Φάσης Ανιχνευτής Φάσης και Συχνότητας AΝΙΧΝΕΥΤΕΣ ΦΑΣΗΣ-ΣΥΧΝΟΤΗΤΑΣ ΚΑΙ ΠΡΟΣΟΜΟΙΩΣΕΙΣ Ανιχνευτής Φάσης-Συχνότητας Με D-flipflop [4] Ανιχνευτής Φάσης-Συχνότητας Με Flip-Flop Και Αντιστροφείς Ανιχνευτής Φάσης-Συχνότητας Με Τρανζίστορ ιέλευσης ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΈΝΝΟΙΑ ΘΟΡΥΒΟΥ ΦΑΣΗΣ Μεταφορά Θορύβου Φάσης Στην Έξοδο Προσοµοιώσεις Θορύβου Φάσης Υπολογισµός Θορύβου Στον Χρόνο ΑΠΟΤΕΛΕΣΜΑΤΑ - ΣΥΜΠΕΡΑΣΜΑΤΑ LAYOYT...43 ΒΙΒΛΙΟΓΡΑΦΙΑ...44 vii

8 1 Εισαγωγή Τελευταία, πολλές έρευνες έχουν διεξαχθεί στον τοµέα των ασύρµατων τηλεπικοινωνιακών συστηµάτων. Πολλές από αυτές αφορούν στην βελτίωση κυκλωµάτων που αποτελούν δοµικά στοιχεία του δέκτη σε ένα κανάλι επικοινωνίας και είναι γνωστά ως κυκλώµατα σύνθεσης συχνότητας (frequency synthesizer). Οπουδήποτε είναι απαραίτητη η αποδιαµόρφωση σηµάτων, τα κυκλώµατα σύνθεσης συχνότητας καλούνται να παράγουν ένα καθαρό, σταθερό και προγραµµατιζόµενο τοπικό ταλαντούµενο σήµα. Η επίδραση του θορύβου στο λαµβανόµενο σήµα είναι ζωτικής σηµασίας διότι από αυτόν τον παράγοντα διασφαλίζεται κατά ένα µεγάλο ποσοστό η ποιότητα και η αξιοπιστία της µεταφερόµενης πληροφορίας. Ένα από τα πιο δηµοφιλή κυκλώµατα που πραγµατοποιούν αποδιαµόρφωση σηµάτων είναι ο αποδιαµορφωτής µε βρόχο κλειδώµατος φάσης (Phase Lock Loop, PLL), η λειτουργία του οποίου αναλύεται στην παρούσα εργασία. Η ευρεία χρήση του βρόχου κλειδώµατος φάσης σε πολλά είδη κυκλωµάτων για επεξεργασία αναλογικών και ψηφιακών σηµάτων οφείλεται στις υψηλές επιδόσεις και στην ευκολία κατασκευής του µε τη χρήση φθηνών ολοκληρωµένων κυκλωµάτων. Αναπόσπαστο κοµµάτι του παραπάνω συστήµατος αποτελεί ο ανιχνευτής φάσης και συχνότητας ( Phase-Frequency Detector, PFD ). Στην συγκεκριµένη εργασία έχουν σχεδιαστεί τρεις διαφορετικοί ανιχνευτές φάσης και συχνότητας χρησιµοποιώντας τεχνολογία UMC 0.18µm CMOS. Οι διαστάσεις των τρανζίστορ που χρησιµοποιήθηκαν υπολογίστηκαν έτσι ώστε να επιτύχουµε την καλύτερη λειτουργία. Οι επιδόσεις και η λειτουργία των τριών κυκλωµάτων αναλύονται στην συνέχεια της εργασίας µε την βοήθεια των προσοµοιώσεων που έχουν διεξαχθεί γι αυτό τον σκοπό. Αρχικά θα αναφερθούµε στην λειτουργία του βρόχου κλειδώµατος φάσης και στην συνέχεια θα αναλύσουµε λεπτοµερώς κάθε κύκλωµα ξεχωριστά. 1.1 Περιγραφή Του Συστήματος Phase Lock Loop (PLL) Το PLL είναι ένα σύστηµα µε ανατροφοδότηση, το οποίο διαχειρίζεται την φάση και την συχνότητα των περιοδικών σηµάτων που δέχεται στην είσοδο. Αυτό το χαρακτηριστικό του έρχεται σε αντίθεση µε τα υπόλοιπα κυκλώµατα που χρησιµοποιούν ανάδραση, στα οποία η τάση και το µέγεθος του ρεύµατος είναι το επίκεντρο του ενδιαφέροντος. Ένας απλός βρόχος αποτελείται από τρία βασικά στοιχεία όπως φαίνεται στο σχήµα 1.1: έναν ανιχνευτή φάσης (PF), ένα χαµηλοπερατό φίλτρο (LPF), έναν ταλαντωτή ελεγχόµενο από τάση (VCO) και σε ορισµένες περιπτώσεις από ένα διαιρέτη συχνότητας (FD). Ο 1

9 ανιχνευτής φάσης λειτουργεί ως ένας ενισχυτής σφάλµατος, το οποίο µειώνεται στην πορεία του υπόλοιπου κυκλώµατος. Ο βρόχος θεωρείται ότι είναι κλειδωµένος όταν η διαφορά φάσης µεταξύ του σήµατος εισόδου και του σήµατος εξόδου είναι σταθερή σε σχέση µε τον χρόνο και οι συχνότητες των δυο σηµάτων είναι ίσες. Στην συγχρονισµένη κατάσταση, όλα τα σήµατα στον βρόχο βρίσκονται σε µια σταθερή κατάσταση. Σχήµα 1.1 Βασικός phase-locked loop Η λειτουργία του PLL είναι η ακόλουθη. Ο ανιχνευτής φάσης δέχεται ως είσοδο το σήµα αναφοράς V in και το σήµα εξόδου του ταλαντωτή V out. Στη συνέχεια παράγει στην έξοδο ένα σήµα σφάλµατος V PD, του οποίου η dc τιµή του είναι ανάλογη της διαφοράς φάσης µεταξύ του σήµατος αναφοράς V in και εξόδου V out. Το χαµηλοπερατό φίλτρο αποτρέπει την διέλευση ανεπιθύµητων συνιστωσών του σήµατος σφάλµατος µε υψηλές συχνότητες, επιτρέποντας την dc τιµή της τάσης ελέγχου (V cont ) να ρυθµίσει την συχνότητα του ελεγχόµενου από τάση ταλαντωτή (VCO). Ανάλογα µε την τιµή της τάσης ελέγχου παράγεται η αντίστοιχη συχνότητα εξόδου. Όσο η φάση ή η συχνότητα του σήµατος εισόδου V in και εξόδου V out θα διαφέρει, ο ταλαντωτής θα συνεχίσει να αυξάνει ή να µειώνει ανάλογα την συχνότητα εξόδου έτσι ώστε να εξαλειφθεί το σφάλµα. Εξαιρετικό ενδιαφέρον παρουσιάζουν οι κυµατοµορφές των σηµάτων στα βασικά σηµεία του PLL. Στο Σχήµα 1.1 εµφανίζεται ένα σήµα εισόδου V in και ένα σήµα εξόδου V out που έχουν ίσες συχνότητες αλλά διαφέρουν στη φάση κατά φ ο. Έτσι ο ανιχνευτής φάσης παράγει παλµούς (V PD ) µε πλάτος που εξαρτάται από τα παραπάνω σήµατα. Οι παλµοί αυτοί φιλτράρονται από το χαµηλοπερατό φίλτρο για να παράγουν την dc τιµή της τάσης ελέγχου (V cont ), η οποία ρυθµίζει την λειτουργία του ταλαντωτή στην απαιτούµενη συχνότητα ώστε το PLL να κλειδώσει. 2

10 Σχήµα 1.2 Κυµατοµορφές στο PLL σε κατάσταση «κλειδώµατος» Εάν η είσοδος του PLL έχει µια σταθερή διαφοροποίηση στη φάση αν δηλαδή είναι αυστηρά περιοδική αλλά το σφάλµα φάσης εισόδου-εξόδου µεταβάλλεται µε το χρόνο, τότε ο βρόχος δεν είναι «κλειδωµένος». Η κατάσταση αυτή του βρόχου είναι ανεπιθύµητη επειδή η έξοδος δεν µπορεί να εντοπίσει την είσοδο ή η σχέση µεταξύ τους είναι πολύ περίπλοκη για να είναι χρήσιµη. Από την λειτουργία του κυκλώµατος προκύπτει το συµπέρασµα ότι το PLL είναι ένα σύστηµα µε µνήµη. Η έξοδός του απαιτεί ένα καθορισµένο χρόνο για να ανταποκριθεί σε οποιαδήποτε αλλαγή στην είσοδο. Η φάση εξόδου του ταλαντωτή δεν µπορεί να καθοριστεί µόνο από την παρούσα τιµή της τάσης ελέγχου αλλά εξαρτάται και από τις προηγούµενες τιµές της. Έτσι η φάση εξόδου του ταλαντωτή θεωρείται ως µια ανεξάρτητη µεταβλητή όταν µελετάται το PLL στον χρόνο. Ακόµα όταν ο βρόχος είναι κλειδωµένος οι συχνότητες των σηµάτων εισόδου πρέπει να είναι ακριβώς ίσες ακόµα και αν η διαφορά φάσης δεν είναι µηδέν. Επιπλέον σε διάφορα σηµεία του βρόχου έχουµε την εναλλαγή φάσης µε τάση και πιο συγκεκριµένα ο ανιχνευτής φάσης µετατρέπει την φάση σε τάση, η οποία διέρχεται από το χαµηλοπερατό φίλτρο στην µορφή που είναι και πάλι µετατρέπεται σε φάση από τον ταλαντωτή ελεγχόµενο από τάση. Εφόσον το PLL διαχειρίζεται την φάση των σηµάτων είναι ευαίσθητα στον θόρυβο φάσης. Εάν το σήµα εισόδου ή τα στοιχεία από τα οποία αποτελείται το PLL εµφανίζουν θόρυβο φάσης τότε και το σήµα εξόδου θα υποφέρει από θόρυβο φάσης. Οι κύριες πηγές θορύβου είναι η φάση από το σήµα αναφοράς, θ ref και η φάση από τον ταλαντωτή ελεγχόµενο από τάση, θ VCO. Για να µελετήσουµε το βαθµό στον οποίο επηρεάζουν το σήµα αρκεί να µελετήσουµε τις χαρακτηριστικές µεταφοράς για το καθένα. Χρησιµοποιώντας τον µετασχηµατισµό Laplace, οι χαρακτηριστικές µεταφοράς κλειστού βρόχου είναι 3

11 ( ) ( ) ( ) θout s 1 s = = θ s 1+ K s N s+ω vco o c ( ) ( ) ( ) ( ) θout s Ko sn ωc = = N θ s 1+ K sn s+ω ref o c (1.1) (1.2) όπου Ν είναι ο αριθµός µε τον οποίο διαιρείται η συχνότητα εξόδου στον διαιρέτη, K ο είναι το κέρδος ανοιχτού βρόχου και υπολογίζεται από το γινόµενο των κερδών του ανιχνευτή (Κ pd ), του φίλτρου (K lpf ) και του ταλαντωτή (K vco ) και ω c είναι η συχνότητα αποκοπής του PLL δηλαδή η συχνότητα για την οποία το κέρδος του ανοιχτού βρόχου είναι 0 db[1]. Αρχικά θα µελετήσουµε την χαρακτηριστική µεταφοράς για τον θόρυβο φάσης που προκαλείται στον ταλαντωτή ελεγχόµενο από τάση. Σχήµα 1.3 Χαρακτηριστική Θορύβου Φάσης Για Τον Θόρυβο Στον Ταλαντωτή Όπως διαπιστώνεται από το διάγραµµα η χαρακτηριστική µεταφοράς θορύβου φάσης είναι υψηλοπερατή στην έξοδο του PLL. Οι υψηλές συχνότητες θορύβου περνάνε ανεπηρέαστες διότι το κέρδος ανάδρασης του βρόχου είναι πολύ µικρό έτσι ώστε να τις εµποδίσει. Για χαµηλότερες συχνότητες η λειτουργία της ανάδρασης του βρόχου αποτρέπει τον θόρυβο να περάσει στην έξοδο. Στο διάγραµµα επίσης εµφανίζονται τρεις περιοχές. Για µεγάλες αποκλίσεις συχνότητας ο θόρυβος στην έξοδο αυξάνεται ραγδαία µε την απόκλιση (ω -2 ). Αυτός ο θόρυβος εµφανίζεται στην πραγµατικότητα εξαιτίας του θερµικού θορύβου της συσκευής, ο οποίος εµφανίζεται σε συχνότητες κοντά στο φέρον σήµα. Για συχνότητες κοντά στην συχνότητα του φέροντος ο θόρυβος µεταβάλλεται κατά 1/ω ορίζοντας την περιοχή ω -3. Η µεταβολή αυτή οφείλεται σε µη γραµµικότητες του PLL στην συγκεκριµένη περιοχή και συνεπώς δεν παίρνει τιµές 1/ω 3. Ο θόρυβος που προέρχεται από το σήµα αναφοράς έχει χαµηλοπερατή χαρακτηριστική µεταφοράς όπως φαίνεται στο Σχήµα 1.4Σφάλµα! Το αρχείο προέλευσης της αναφοράς δεν 4

12 βρέθηκε. για την ίδια συχνότητα αποκοπής του PLL. Ο θόρυβος φάσης όµως περνώντας µέσα από το PLL πολλαπλασιάζεται µε τον παράγοντα Ν µε τον οποίο διαιρείται η συχνότητα εξόδου του VCO στον διαιρέτη συχνότητας. Σχήµα 1.4 Χαρακτηριστική Θορύβου Φάσης Από Σήµα Αναφοράς 5

13 2 Βασικά Στοιχεία Του PLL Ανιχνευτής Φάσης Ένας ιδανικός ανιχνευτής παράγει ένα σήµα εξόδου, του οποίου η dc τιµή του έχει γραµµική εξάρτηση από την διαφορά φάσης των δυο περιοδικών σηµάτων που εφαρµόζονται στην είσοδο όπως φαίνεται στο Σχήµα 2.1.Πιο συγκεκριµένα η σχέση που συνδέει τα µεγέθη είναι: v% = K φ (1.3) out όπου K PD είναι το κέρδος του ανιχνευτή φάσης και φ είναι η διαφορά φάσης στην είσοδο. Στην πραγµατικότητα όµως για µεγάλες διαφορές φάσης η χαρακτηριστική δεν είναι γραµµική. PD Σχήµα 2.1 Χαρακτηριστική ιδανικού ανιχνευτή φάσης Υπάρχουν τρεις βασικοί τύποι ανιχνευτών φάσης: ο αναλογικός, ο ψηφιακός ανιχνευτής φάσης και ο ανιχνευτής φάσης-συχνότητας. Ο αναλογικός ανιχνευτής ή πολλαπλασιαστής πραγµατοποιεί µίξη των σηµάτων εισόδου και η dc τιµή του σήµατος εξόδου του αποτελεί µέτρο της διαφοράς φάσης. Ο ψηφιακός ανιχνευτής φάσης αποτελείται από λογικές πύλες ή εναλλακτικά από µανδαλωτές (flipflops), οι οποίοι σκανδαλίζονται όταν τα σήµατα εισόδου µεταβαίνουν σε άλλη κατάσταση. Η τελευταία κατηγορία, ο ανιχνευτής φάσης-συχνότητας έχει διπλή λειτουργία διότι ανιχνεύει και την φάση αλλά και την συχνότητα των σηµάτων που δέχεται στην είσοδο. Εκτενέστερη αναφορά θα γίνει στο επόµενο κεφάλαιο Χαμηλοπερατό Φίλτρο Ο σχεδιασµός του φίλτρου καθορίζει πολλές παραµέτρους του PLL. Επιπλέον πόλοι και µηδενικά στην χαρακτηριστική µεταφοράς του φίλτρου επηρεάζουν το θόρυβο και τη δυναµική λειτουργία του βρόχου. Για τις ανάγκες του PLL χρησιµοποιείται ένα χαµηλοπερατό φίλτρο Σχήµα 2.2. Σκοπός του φίλτρου είναι να κόβει την διέλευση σηµάτων υψηλών συχνοτήτων που προέρχονται από την έξοδο του ανιχνευτή φάσης και στη συνέχεια να δηµιουργεί την τάση που 6

14 ρυθµίζει την συχνότητα του ταλαντωτή. Από την χαρακτηριστική µεταφοράς του προκύπτει ότι εάν στην είσοδο έχουµε µια µικρή διαφοροποίηση της φάσης τότε και στην έξοδο η µεταβολή θα είναι µικρή, ενώ εάν η φάση στην είσοδο µεταβάλλεται γρήγορα, η µεταβολή στην έξοδο θα γίνεται αργά [2]. Σχήµα 2.2 Χαµηλοπερατό φίλτρο Τα PLLs που µετά από τον ανιχνευτή φάσης ακολουθεί χαµηλοπερατό φίλτρο είναι γνωστά ως τύπου I, ενώ τα PLLs που αποτελούνται από ανιχνευτή φάσης-συχνότητας και συνδυάζονται µε µια αντλία φορτίων (charge-pump) είναι γνωστά ως τύπου II. Η θεµελιώδης διαφορά ανάµεσα στους δύο τύπους PLL είναι ότι η χαρακτηριστική µεταφοράς για ανοιχτό βρόχο του τύπου I έχει έναν µόνο πόλο στο όρισµά της, ενώ το PLL τύπου II έχει 2 πόλους. Αυτό σηµαίνει ότι το PLL τύπου II είναι πιο σταθερά όταν λειτουργούν σε υψηλές συχνότητες Ταλαντωτής Ελεγχόμενος Από Τάση (VCO) Ο ταλαντωτής σε ένα PLL λειτουργεί στις υψηλότερες συχνότητες που υπάρχουν στο βρόχο και συνήθως παράγει τον µεγαλύτερο θόρυβο στην έξοδο του συστήµατος. Σκοπός του συγκεκριµένου στοιχείου είναι να παράγει µια συχνότητα εξόδου ίση και συµφασική µε τη συχνότητα του σήµατος εισόδου του PLL. Η συχνότητα εξόδου καθορίζεται από την τάση ελέγχου που προέρχεται από την έξοδο του φίλτρου. Ο πιο διαδεδοµένος ταλαντωτής που χρησιµοποιείται στα συστήµατα PLL είναι ο κυκλικός ταλαντωτής (ring oscillator). Το περιοδικό σήµα εξόδου παράγεται από έναν κλειστό βρόχο από τρεις ή περισσότερους αντιστροφείς. Ο αριθµός τους θα πρέπει να διασφαλίζει την απαραίτητη ταλάντωση και να είναι πολύ συγκεκριµένος διότι οι επιπλέον αντιστροφείς περιορίζουν την µέγιστη συχνότητα εξόδου. Η περίοδος του εξερχόµενου σήµατος είναι 2n*T d, όπου n είναι ο αριθµός των αντιστροφέων που συµµετέχουν στον κύκλο και T d είναι ο χρόνος καθυστέρησης που εισάγει στο κύκλωµα ο ένας αντιστροφέας. Η περιοχή συχνοτήτων που καλύπτει ο συγκεκριµένος ταλαντωτής είναι πολύ µεγάλη και οφείλεται στην µεταβολή του ρεύµατος που φορτίζει την χωρητικότητα στην έξοδο του αντιστροφέα. Οι κυκλικοί ταλαντωτές είναι κατάλληλοι για την τεχνολογία CMOS και είναι ικανοί να λειτουργούν σε πολύ υψηλές συχνότητες. Η χρήση τους όµως περιορίζεται όταν πρόκειται για 7

15 συστήµατα υψηλής ποιότητας εξαιτίας του µεγάλου θορύβου φάσης που δηµιουργούν. Η έλλειψη φιλτραρίσµατος του θορύβου και η λειτουργία των αντιστροφέων σε συνδυασµό µε την καθυστέρηση που εισάγουν προκαλούν την δηµιουργία υψηλού θορύβου φάσης. Για κυκλώµατα υψηλής ποιότητας χρησιµοποιούνται οι LC-ταλαντωτές που παράγουν µεγάλες συχνότητες εξόδου και σε σχέση µε άλλους ταλαντωτές µικρό θόρυβο φάσης. Η συχνότητα εξόδου του συγκεκριµένου ταλαντωτή καθορίζεται από ένα πηνίο και έναν πυκνωτή. Τα δύο αυτά στοιχεία αποτελούν ένα παθητικό φίλτρο και εποµένως ο θόρυβος είναι περιορισµένος Διαιρέτης Συχνότητας (frequency divider) Ο διαιρέτης συχνότητας µαζί µε τον ταλαντωτή είναι τα µόνα στοιχεία του PLL που λειτουργούν σε πολύ υψηλές συχνότητες. Σκοπός του είναι να υποβιβάζει την υψηλή συχνότητα που προέρχεται από τον ταλαντωτή έτσι ώστε να δηµιουργήσει ένα σήµα που να µπορεί να συγκριθεί µε την συχνότητα αναφοράς που εφαρµόζεται στην είσοδο του ανιχνευτή φάσης. Στην ουσία είναι ένας µετρητής, όπου η κατάσταση εξόδου του αλλάζει εφόσον έχει µετρήσει έναν προκαθορισµένο αριθµό περιόδων στην είσοδο. Ο πιο απλός διαιρέτης είναι ένα flip-flop, το οποίο µειώνει στo µισό την συχνότητα εισόδου. Υπάρχουν δυο είδη διαιρετών συχνότητας, ο ασύγχρονος και ο σύγχρονος διαιρέτης. Ο ασύγχρονος διαιρέτης αποτελείται από µια σειρά από flip-flops, οι έξοδοι των οποίων τροφοδοτούν το ρολόι εισόδου του επόµενου διαιρέτη. Το σήµα εισόδου σε αυτόν τον διαιρέτη σκανδαλίζει το πρώτο flip-flop, αυτό µε την σειρά του το επόµενο και ούτω καθεξής. Ο σύγχρονος διαιρέτης αποτελείται και αυτός από µια σειρά flip-flops αλλά αυτή την φορά όλα τα flip-flop σκανδαλίζονται ταυτόχρονα από το σήµα εισόδου. Στον ασύγχρονο µετρητή επειδή το σήµα διέρχεται από ολόκληρη την αλυσίδα των flip-flop, το τελικό σήµα στην έξοδο προκύπτει µε σηµαντική καθυστέρηση. Στο Σχήµα 2.3 απεικονίζεται ένας σύγχρονος µετρητής που αποτελείται από JK flip-flop. Στη συγκεκριµένη τοπολογία όλα τα flip-flop σκανδαλίζονται ταυτόχρονα µε την ακµή του ρολογιού. 8

16 Σχήµα 2.3 ιαιρέτης Συχνότητας Με JK flipflops Σε πολλά κυκλώµατα σύνθεσης συχνότητας είναι απαραίτητο η συχνότητα εξόδου και εποµένως και η λειτουργία του διαιρέτη να είναι προγραµµατιζόµενη. Για κυκλώµατα που λειτουργούν σε χαµηλές συχνότητες, η διαίρεση συχνότητας γίνεται µε προγραµµατιζόµενους µετρητές ενώ για υψηλές συχνότητες χρησιµοποιούνται διαιρέτες µε ρυθµισµένη κλίµακα διαίρεσης (prescaler). Ένας προγραµµατιζόµενος µετρητής είναι ρυθµισµένος έτσι ώστε να µετράει παλµούς εισόδου µέχρι έναν προκαθορισµένο αριθµό P και στη συνέχεια να παράγει ένα σήµα και να αρχίζει πάλι από την αρχή. Η κλίµακα διαίρεσης σε αυτήν την περίπτωση είναι ίση µε P. Εάν η συχνότητα εισόδου του διαιρέτη είναι πολύ υψηλή τότε χρησιµοποιούνται prescaler. Αυτοί διαιρούν την συχνότητα µε µια καθορισµένη τιµή, αποφεύγοντας τα προβλήµατα καθυστέρησης που υπάρχουν στους προγραµµατιζόµενους µετρητές. Το κύριο µειονέκτηµα είναι ότι για να εφαρµοστούν σε ένα PLL συνήθως απαιτείται και η µείωση της συχνότητας αναφοράς στην είσοδο του. Για έναν τέτοιο διαιρέτη που διαιρεί κατά παράγοντα Ν το µικρότερο βήµα συχνότητας του PLL µπορεί να είναι Ν*f ref, όπου f ref η συχνότητα του σήµατος αναφοράς στην είσοδο του PLL. 2.2 Εξελιγμένα PLL Ως Συνθέτες Συχνότητας Ένας συνθέτης συχνότητας που λειτουργεί σε µεγάλες συχνότητες έχει ως βασική λειτουργία να παράγει µια συγκεκριµένη συχνότητα εξόδου. Η ακρίβεια που απαιτείται θέτει ιδανικά τα PLLs ως συνθέτες συχνότητας. Όταν το PLL βρίσκεται σε κατάσταση «κλειδώµατος» η συχνότητα εξόδου του έχει µια ακριβή σχέση µε την συχνότητα εισόδου. Όταν η µικρότερη συχνότητα εισόδου σε ένα PLL είναι f 1 τότε το εύρος ζώνης συχνοτήτων του βρόχου πρέπει να είναι µικρότερο από το ένα δέκατο της f 1 έτσι ώστε να διασφαλίζεται η σταθερότητα του συστήµατος και να αποκλείονται ανεπιθύµητα σήµατα στην είσοδο. Εξαιτίας 9

17 αυτής της προϋπόθεσης, περιορίζεται η δυναµική λειτουργία του βρόχου. Σε µια προσπάθεια να ισοσταθµιστούν αυτοί οι δυο παράγοντες έχουν αναπτυχθεί δύο βασικές δοµές κυκλωµάτων σύνθεσης συχνότητας που χρησιµοποιούν PLLs, γνωστά ως integer-n και franctional-n συνθέτες συχνότητας Integer-N συνθέτης συχνότητας Τα συγκεκριµένα κυκλώµατα πέρα από το βασικό βρόχο PLL περιλαµβάνουν και έναν διαιρέτη συχνότητας, ο οποίος τις περισσότερες φορές αποτελείται από έναν διαιρέτη µε προκαθορισµένο αριθµό διαίρεσης (prescaler) και από προγραµµατιζόµενους µετρητές. Σε αυτά τα κυκλώµατα, η συχνότητα εξόδου από τον ταλαντωτή ελεγχόµενο από τάση θα είναι ακέραιο πολλαπλάσιο της συχνότητας εισόδου. Όπως προκύπτει και από το Σχήµα 2.4 η συχνότητα εξόδου είναι: f o = N*f (1.4) τότε ο διαιρέτης συχνότητας θα διαιρέσει το σήµα εξόδου του ταλαντωτή κατά έναν παράγοντα Ν έτσι ώστε να προκύψει η συχνότητα αναφοράς. Το µειονέκτηµα της συγκεκριµένης δοµής είναι ότι το εύρος ζώνης του βρόχου είναι περιορισµένο διότι η συχνότητα αναφοράς θα πρέπει να είναι ίση µε το εύρος των καναλιών. ref Σχήµα 2.4 Integer-N συνθέτης συχνότητας Fractional-n συνθέτης συχνότητας Στον fractional-n συνθέτη συχνότητας η συχνότητα εξόδου από τον ταλαντωτή δεν είναι µόνο ένα ακέραιο πολλαπλάσιο της συχνότητας αναφοράς αλλά διαφέρει και κατά έναν σταθερό παράγοντα. Η συχνότητα εξόδου εδώ παίρνει την ακόλουθη µορφή: 10

18 ( ) f = N+ A Q f (1.5) 0 r Επειδή ο διαιρέτης συχνότητας µπορεί να διαιρέσει µόνο κατά ένα ακέραιο πολλαπλάσιο, εδώ ο διαιρέτης θα διαιρέσει µε Ν+1 συγκεκριµένο αριθµό Α περιόδων και µε Ν περιόδους (Q-A). Έτσι η µέση τιµή της κλίµακας διαίρεσης θα είναι Α/Q όπως προκύπτει και από την σχέση (1.5). Με αυτή την µέθοδο επιτυγχάνεται µεγαλύτερο εύρος ζώνης συχνοτήτων, συµβάλλοντας σε πιο γρήγορη µετάβαση στην κατάσταση «κλειδώµατος». Επιπλέον, η µικρότερη τιµή διαίρεσης µειώνει την επίδραση του θορύβου φάσης. 11

19 3 Αναλυτική Περιγραφή Του Ανιχνευτή Φάσης Ένα από τα πιο σηµαντικά στοιχεία του PLL είναι ο ανιχνευτής φάσης. Αποτελεί το κύκλωµα εισόδου του PLL και υπολογίζει την διαφορά φάσης που υπάρχει ανάµεσα σε δύο σήµατα, του σήµατος αναφοράς και του σήµατος που προέρχεται από τον ταλαντωτή ελεγχόµενο από τάση. Η έξοδος του ανιχνευτή είναι ανάλογη µε την υπάρχουσα διαφορά φάσης. Υπάρχουν πολλά είδη ανιχνευτών φάσης, ορισµένοι από αυτούς αναλύονται παρακάτω. 3.1 Αναλογικός Ανιχνευτής Φάσης Ένας αναλογικός ανιχνευτής φάσης πολλαπλασιάζει τα δυο σήµατα εισόδου του και παράγει µια τάση εξόδου u pd. Εάν έχουµε δυο εισόδους Α 1 *sin(ω 1 +θ 1 ) και Α 2 *sin(ω 2 +θ 2 ) τότε η έξοδος του ανιχνευτή θα είναι: ( ( ) ( ) ) upd = APD sin ω1 ω2 t+θ1 θ 2 + sin ω 1+ω 2 * t+θ 1+θ2 (1.6) Όταν οι δυο συχνότητες είναι ίσες τότε η dc τιµή της εξόδου είναι ίση µε Α PD *sin(θ 1 -θ 2 ). Ο αναλογικός ανιχνευτής είναι ιδιαίτερα χρήσιµος σε εφαρµογές όπου το εύρος ζώνης συχνοτήτων του βρόχου είναι αρκετά στενό ώστε να αποτρέπει αποτελεσµατικά την διέλευση ανεπιθύµητων σηµάτων. Το µειονέκτηµά του είναι ότι καταναλώνει πολύ ενέργεια και το κέρδος του εξαρτάται από το πλάτος των εισόδων του [3]. Επιπλέον δεν µπορεί να ανιχνεύσει τα σφάλµατα στις συχνότητες των εισόδων. 3.2 Λογική Πύλη Εχοr Μια λογική πύλη exor µπορεί να χρησιµοποιηθεί ως ανιχνευτής φάσης όπως φαίνεται στo Σχηµα 3.1. Η λειτουργία της πύλης που απεικονίζεται µε τις κυµατοµορφές αναφέρεται στην περίπτωση κατά την οποία η διαφορά φάσης µεταξύ των εισόδων Α και Β είναι αρνητική. Η µέση τιµή της εξόδου C είναι ένα µέτρο για την διαφορά φάσης. Στο τελευταίο διάγραµµα του Σχήµατος 3.1 έχουµε την χαρακτηριστική µεταφοράς της πύλης. Παρατηρούµε ότι γύρω από την µηδενική διαφορά φάσης υπάρχει µια γραµµική εξάρτηση εξόδου C- θ, η οποία ξεκινάει από π/2 και φτάνει µέχρι +π/2. Το µειονέκτηµα του συγκεκριµένου ανιχνευτή είναι ότι όταν και οι δυο είσοδοί του είναι ασύµµετρες η τιµή του σήµατος εξόδου παίρνει µικρότερες τιµές από τις ακραίες π/2 και π/2 µε αποτέλεσµα να µειώνεται το κέρδος του βρόχου στο PLL και η δυνατότητα κλειδώµατος. 12

20 Σχήµα 3.1 Exor πύλη ως ανιχνευτής φάσης και χαρακτηριστική λειτουργίας 3.3 Flipflop ως Ανιχνευτής Φάσης Ένα JK flipflop µπορεί να χρησιµοποιηθεί ως ανιχνευτής φάσης. Οι είσοδοι Α και Β καθορίζουν την έξοδο και σε αυτή την περίπτωση έχουν αρνητική διαφορά φάσης. Η διαφορά µε την exor πύλη φαίνεται στην χαρακτηριστική µεταφοράς. Εδώ η µέση τιµή C της εξόδου έχει πριονωτή µορφή. Η γραµµική περιοχή λειτουργίας του κυκλώµατος αντιστοιχεί σε µια ολόκληρη περίοδο. Συγκρίνοντας το JK flipflop µε τον exor ανιχνευτή προκύπτει το συµπέρασµα ότι η περιοχή γραµµικής λειτουργίας του πρώτου είναι διπλάσια από του άλλου, αλλά το flipflop είναι πιο ευαίσθητο στα παρασιτικά σήµατα. 13

21 Σχήµα 3.2 JK flipflop ως ανιχνευτής φάσης και χαρακτηριστική λειτουργίας 3.4 Ανιχνευτής Φάσης και Συχνότητας Ο ανιχνευτής φάσης-συχνότητας είναι ένας ανιχνευτής φάσης µε ένα επιπλέον χαρακτηριστικό που είναι η λειτουργία µνήµης. Έτσι µπορεί να χρησιµοποιηθεί όχι µόνο ως ανιχνευτής φάσης αλλά και ως ανιχνευτής συχνότητας. Σε ένα PLL µετά από τον ανιχνευτή ακολουθεί ένα ενδιάµεσο κύκλωµα πριν από το φίλτρο, γνωστό ως αντλία φορτίων (chargepump). Η τοπολογία είναι όπως φαίνεται στο Σχήµα

22 Σχήµα 3.3 κύκλωµα charge-pump UP DWN Πίνακας 1 ΠΕΡΙΓΡΑΦΗ 1 0 ΙΒ παρέχει ρεύµα στο φίλτρο αυξάνοντας την V IB αντλεί ρεύµα από το φίλτρο µειώνοντας την V Και οι δυο διακόπτες είναι κλειστοί και δεν υπάρχει αλλαγή στην V 0 Ο ανιχνευτής φάσης-συχνότητας έχει δυο εξόδους, Up και Dn και αποτελούν τις εισόδου της charge-pump. Όταν η τιµή του σήµατος Up είναι «ψηλά» δηλαδή παίρνει την µεγαλύτερη τιµή τάσης, η έξοδος της charge-pump διοχετεύει ρεύµα στο φίλτρο, αυξάνοντας την τάση ελέγχου στον ταλαντωτή και κατά συνέπεια την συχνότητά του. Το σήµα Dn έχει ακριβώς την αντίθετη λειτουργία. Όταν το σήµα είναι «ψηλά», η charge-pump τραβάει ρεύµα από το φίλτρο, µειώνοντας την τάση ελέγχου. Μια τρίτη κατάσταση είναι όταν κανένα από τα δυο σήµατα δεν είναι ενεργά. Τότε το ρεύµα εξόδου είναι µηδέν και η έξοδος της charge-pump θεωρείται κόµβος υψηλής αντίστασης. Οι καταστάσεις στις οποίες µπορεί να βρεθεί απεικονίζονται στο λογικό διάγραµµα Σχηµα

23 Σχήµα 3.4 Λογικό ιάγραµµα Ανιχνευτή Φάσης-Συχνότητας Η λειτουργία του ανιχνευτή φάσης-συχνότητας και της charge-pump φαίνεται αναλυτικά στο Σχήµα 3.5. Στην συγκεκριµένη περίπτωση το κύκλωµα του ανιχνευτή σκανδαλίζεται στην αρνητική παρυφή των σηµάτων εισόδου. Στην αρχική κατάσταση παρατηρούµε ότι το σήµα εισόδου Div ακολουθεί στην φάση το σήµα εισόδου Ref. Έτσι παράγεται ένας παλµός Up µε σκοπό να αυξηθεί η συχνότητα στο VCO. Στη συνέχεια, και οι δυο ακµές συµβαίνουν ταυτόχρονα και το ρεύµα στο φίλτρο παραµένει σταθερό. Από το διάγραµµα είναι φανερό ότι το σήµα εισόδου Div έχει µεγαλύτερη συχνότητα από σήµα εισόδου Ref και έτσι παράγεται ένα Dn παλµός µε αυξανόµενο πλάτος. Αυτό έχει ως συνέπεια όλο και περισσότερο ρεύµα να αντλείται από το φίλτρο, µειώνοντας συνεχώς την συχνότητα εξόδου. Η γραµµική περιοχή λειτουργίας είναι τώρα 4π rad και είναι διπλάσια από την περιοχή λειτουργίας του ανιχνευτή φάσης µε flipflop. Επιπλέον όταν ο βρόχος είναι «κλειδωµένος» η τάση ελέγχου διατηρεί απλά την τιµή της. Η απουσία παλµών στην τάση ελέγχου συµβάλει στον περιορισµό των ανεπιθύµητων σηµάτων. 16

Σελίδα 1 από 8. Απαντήσεις στο φυλλάδιο 52

Σελίδα 1 από 8. Απαντήσεις στο φυλλάδιο 52 Σελίδα 1 από 8 Απαντήσεις στο φυλλάδιο 52 Ερώτηση 1 η : Πολυδονητές ονοµάζονται τα ηλεκτρονικά κυκλώµατα που παράγουν τετραγωνικούς παλµούς. 2 η : Ανάλογα µε τον τρόπο λειτουργίας τους διακρίνονται σε:

Διαβάστε περισσότερα

Εισαγωγή στους Ταλαντωτές Οι ταλαντωτές είναι από τα βασικότερα κυκλώματα στα ηλεκτρονικά. Χρησιμοποιούνται κατά κόρον στα τηλεπικοινωνιακά συστήματα

Εισαγωγή στους Ταλαντωτές Οι ταλαντωτές είναι από τα βασικότερα κυκλώματα στα ηλεκτρονικά. Χρησιμοποιούνται κατά κόρον στα τηλεπικοινωνιακά συστήματα Πανεπιστήμιο Θεσσαλίας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Υλοποίηση και Εργαστηριακή Αναφορά Ring και Hartley Ταλαντωτών Φοιτητής: Ζωγραφόπουλος Γιάννης Επιβλέπων Καθηγητής: Πλέσσας Φώτιος

Διαβάστε περισσότερα

7 ο ΚΕΦΑΛΑΙΟ ΕΡΩΤΗΣΕΙΣ ΑΥΤΟΕΞΕΤΑΣΗΣ. 1) Ποιος είναι ο ρόλος του δέκτη στις επικοινωνίες.

7 ο ΚΕΦΑΛΑΙΟ ΕΡΩΤΗΣΕΙΣ ΑΥΤΟΕΞΕΤΑΣΗΣ. 1) Ποιος είναι ο ρόλος του δέκτη στις επικοινωνίες. 7 ο ΚΕΦΑΛΑΙΟ ΕΡΩΤΗΣΕΙΣ ΑΥΤΟΕΞΕΤΑΣΗΣ 1) Ποιος είναι ο ρόλος του δέκτη στις επικοινωνίες. Ρόλος του δέκτη είναι να ενισχύει επιλεκτικά και να επεξεργάζεται το ωφέλιμο φέρον σήμα που λαμβάνει και να αποδίδει

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

ΔΙΔΑΣΚΩΝ: Λ. ΜΠΙΣΔΟΥΝΗΣ ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 28/01/2015

ΔΙΔΑΣΚΩΝ: Λ. ΜΠΙΣΔΟΥΝΗΣ ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 28/01/2015 ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 8//5 ΘΕΜΑ ο (.5 μονάδες) Η έξοδος του αισθητήρα του παρακάτω σχήματος είναι γραμμικό σήμα τάσης, το οποίο εφαρμόζεται για χρονικό διάστημα

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 ) ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 9 ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των απαριθµητών. Υλοποίηση ασύγχρονου απαριθµητή 4-bit µε χρήση JK Flip-Flop. Κατανόηση της αλλαγής του υπολοίπου

Διαβάστε περισσότερα

Γενικά χαρακτηριστικά ανάδρασης

Γενικά χαρακτηριστικά ανάδρασης Ενισχυτικές Διατάξεις 1 Γενικά χαρακτηριστικά ανάδρασης Κάθε ηλεκτρονικό κύκλωµα, για το οποίο η δυναµική συµπεριφορά καθορίζεται από κάποιας µορφή σχέση µεταξύ εισόδου (διέγερση) και εξόδου (απόκριση),

Διαβάστε περισσότερα

FSK Διαμόρφωση και FSK Αποδιαμόρφωση (FSK Modulation-FSK Demodulation)

FSK Διαμόρφωση και FSK Αποδιαμόρφωση (FSK Modulation-FSK Demodulation) FSK Διαμόρφωση και FSK Αποδιαμόρφωση (FSK Modulation-FSK Demodulation) ΣΚΟΠΟΙ ΤΗΣ ΑΣΚΗΣΗΣ Η εκμάθηση της αρχής λειτουργίας της ψηφιακής διαμόρφωσης συχνότητας (Frequency Shift Keying, FSK) και της αποδιαμόρφωσής

Διαβάστε περισσότερα

Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ.1) με τα εξής χαρακτηριστικά: R 2.3 k,

Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ.1) με τα εξής χαρακτηριστικά: R 2.3 k, Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ) με τα εξής χαρακτηριστικά: 3 k, 50, k, S k και V 5 α) Nα υπολογιστούν οι τιμές των αντιστάσεων β) Να επιλεγούν οι χωρητικότητες C, CC έτσι ώστε ο ενισχυτής

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

5 η ενότητα ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΣΤΟΥΣ ΕΝΙΣΧΥΤΕΣ

5 η ενότητα ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΣΤΟΥΣ ΕΝΙΣΧΥΤΕΣ ρ. Λάμπρος Μπισδούνης Καθηγητής 5 η ενότητα ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΣΤΟΥΣ ΕΝΙΣΧΥΤΕΣ T.E.I. ΔΥΤΙΚΗΣ ΕΛΛΑΔΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. 1 Περιεχόμενα 5 ης ενότητας Στην πέμπτη ενότητα θα μελετήσουμε την ανατροφοδότηση

Διαβάστε περισσότερα

Τηλεπικοινωνιακά Συστήματα ΙΙ

Τηλεπικοινωνιακά Συστήματα ΙΙ Τηλεπικοινωνιακά Συστήματα ΙΙ Διάλεξη 9: Ο συγχρονισμός στις ψηφιακές επικοινωνίες Δρ. Μιχάλης Παρασκευάς Επίκουρος Καθηγητής 1 Ατζέντα Σκοπός Εισαγωγή Βρόχος κλειδώματος φάσης (Phase Locked Loop - PLL)

Διαβάστε περισσότερα

4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER

4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER 4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER Σκοπός του κεφαλαίου είναι να παρουσιάσει μερικές εφαρμογές του Μετασχηματισμού Fourier (ΜF). Ειδικότερα στο κεφάλαιο αυτό θα περιγραφούν έμμεσοι τρόποι

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής

ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής Ο τελεστικός ενισχυτής, TE (operational ampliier, op-amp) είναι ένα από τα πιο χρήσιμα αναλογικά κυκλώματα. Κατασκευάζεται ως ολοκληρωμένο κύκλωμα (integrated circuit) και

Διαβάστε περισσότερα

Ασκήσεις στα Συστήµατα Ηλεκτρονικών Επικοινωνιών Κεφάλαιο 3 ο : ΕΙΣΑΓΩΓΗ στις ΤΗΛΕΠΙΚΟΙΝΩΝΙΕΣ ΗΛΕΚΤΡΟΜΑΓΝΗΤΙΚΟ ΚΥΜΑ και ΤΕΧΝΙΚΕΣ ΙΑΜΟΡΦΩΣΗΣ

Ασκήσεις στα Συστήµατα Ηλεκτρονικών Επικοινωνιών Κεφάλαιο 3 ο : ΕΙΣΑΓΩΓΗ στις ΤΗΛΕΠΙΚΟΙΝΩΝΙΕΣ ΗΛΕΚΤΡΟΜΑΓΝΗΤΙΚΟ ΚΥΜΑ και ΤΕΧΝΙΚΕΣ ΙΑΜΟΡΦΩΣΗΣ Κεφάλαιο 3 ο : ΕΙΣΑΓΩΓΗ στις ΤΗΛΕΠΙΚΟΙΝΩΝΙΕΣ ΗΛΕΚΤΡΟΜΑΓΝΗΤΙΚΟ ΚΥΜΑ και ΤΕΧΝΙΚΕΣ ΙΑΜΟΡΦΩΣΗΣ 1. Ποµπός ΑΜ εκπέµπει σε φέρουσα συχνότητα 1152 ΚΗz, µε ισχύ φέροντος 10KW. Η σύνθετη αντίσταση της κεραίας είναι

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

Καθυστέρηση στατικών πυλών CMOS

Καθυστέρηση στατικών πυλών CMOS Καθυστέρηση στατικών πυλών CMOS Πρόχειρες σημειώσεις Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Άνοιξη 2008 Παρόλο που οι εξισώσεις των ρευμάτων των MOS τρανζίστορ μας δίνουν

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής

ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής Ο διαφορικός ενισχυτής (differential amplifier) είναι από τα πλέον διαδεδομένα και χρήσιμα κυκλώματα στις ενισχυτικές διατάξεις. Είναι βασικό δομικό στοιχείο του τελεστικού

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗΣ

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗΣ Εισαγωγή στη Μικροηλεκτρονική (ΕΤΥ-482) 1 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗΣ A. Πίνακες αληθείας λογικών πυλών. Στη θετική λογική το λογικό 0 παριστάνεται µε ένα χαµηλό δυναµικό, V L, ενώ το λογικό 1

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΑΣΚΗΣΗ 7 FLIP - FLOP ΑΣΚΗΣΗ 7 FLIP - FLOP Αντικείμενο της άσκησης: Η κατανόηση της δομής και λειτουργίας των Flip Flop. Flip - Flop Τα Flip Flop είναι δισταθή λογικά κυκλώματα με χαρακτηριστικά μνήμης και είναι τα πλέον βασικά

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές

Τελεστικοί Ενισχυτές Τελεστικοί Ενισχυτές Ο Τελεστικός Ενισχυτής (ΤΕ) αποτελεί ένα ιδιαίτερο είδος ενισχυτή, το οποίο έχει ευρύτατη αποδοχή ως δομικό στοιχείο των ηλεκτρονικών κυκλωμάτων. Η μεγάλη του δημοτικότητα οφείλεται

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Μάθηµα 3ο.. Λιούπης

Ψηφιακά Ηλεκτρονικά. Μάθηµα 3ο.. Λιούπης Ψηφιακά Ηλεκτρονικά Μάθηµα 3ο. Λιούπης Χαρακτηριστική καµπύλη µεταφοράς τάσης TTL V out (volts) εγγυηµένη περιοχή V OH V OH(min) V OL(max) 2.4 Ηκαµπύλη µεταφοράς εξαρτάται από τη θερµοκρασία περιβάλλοντος

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Ι Ο ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Ι Ο ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ Εργαστήριο Τεχνολογίας Υλικού & Αρχιτεκτονικής Υπολογιστών ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Ι Ο ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ 1.1 Τελεστικοί ενισχυτές 1.1.1 Εισαγωγή: Αντικείµενο της εργαστηριακής

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές

Τελεστικοί Ενισχυτές Τελεστικοί Ενισχυτές Ενισχυτές-Γενικά: Οι ενισχυτές είναι δίθυρα δίκτυα στα οποία η τάση ή το ρεύμα εξόδου είναι ευθέως ανάλογη της τάσεως ή του ρεύματος εισόδου. Υπάρχουν τέσσερα διαφορετικά είδη ενισχυτών:

Διαβάστε περισσότερα

ΠΕΙΡΑΜΑΤΙΚΗ ΔΙΑΔΙΚΑΣΙΑ

ΠΕΙΡΑΜΑΤΙΚΗ ΔΙΑΔΙΚΑΣΙΑ ΕΙΣΑΓΩΓΗ: Ο τελεστικός ενισχυτής είναι ένα προκατασκευασμένο κύκλωμα μικρών διαστάσεων που συμπεριφέρεται ως ενισχυτής τάσης, και έχει πολύ μεγάλο κέρδος, πολλές φορές της τάξης του 10 4 και 10 6. Ο τελεστικός

Διαβάστε περισσότερα

Ταλαντωτές. Ηλεκτρονική Γ Τάξη Β εξάμηνο Μάρτιος 2011 Επ. Καθ. Ε. Καραγιάννη

Ταλαντωτές. Ηλεκτρονική Γ Τάξη Β εξάμηνο Μάρτιος 2011 Επ. Καθ. Ε. Καραγιάννη Ταλαντωτές Ηλεκτρονική Γ Τάξη Β εξάμηνο Μάρτιος Επ. Καθ. Ε. Καραγιάννη Ταλαντωτές ΑΝΑΔΡΑΣΗ Στοιχεία Ταλάντωσης Ενισχυτής OUT Ταλαντωτής είναι ένα κύκλωμα που παράγει ηλεκτρικό σήμα σταθερής συχνότητας

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Τελεστικοί Ενισχυτές Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Ο ιδανικός τελεστικός ενισχυτής Είσοδος αντιστροφής Ισοδύναμα Είσοδος μη αντιστροφής A( ) A d 2 1 2 1

Διαβάστε περισσότερα

Ειδικά Θέματα Ηλεκτρονικών 1

Ειδικά Θέματα Ηλεκτρονικών 1 Ειδικά Θέματα Ηλεκτρονικών 1 ΠΕΡΙΕΧΟΜΕΝΑ ΚΕΦΑΛΑΙΟ 3...2 ΑΠΟΚΡΙΣΗ ΣΥΧΝΟΤΗΤΑΣ ΕΝΙΣΧΥΤΩΝ...2 3.1 Απόκριση συχνότητας ενισχυτών...2 3.1.1 Παραμόρφωση στους ενισχυτές...5 3.1.2 Πιστότητα των ενισχυτών...6 3.1.3

Διαβάστε περισσότερα

ΤΕΙ - ΧΑΛΚΙ ΑΣ. παθητικά: προκαλούν την απώλεια ισχύος ενός. ενεργά: όταν τροφοδοτηθούν µε σήµα, αυξάνουν

ΤΕΙ - ΧΑΛΚΙ ΑΣ. παθητικά: προκαλούν την απώλεια ισχύος ενός. ενεργά: όταν τροφοδοτηθούν µε σήµα, αυξάνουν 1. Εισαγωγικά στοιχεία ηλεκτρονικών - Ι.Σ. ΧΑΛΚΙΑ ΗΣ διαφάνεια 1 1. ΘΕΜΕΛΙΩ ΕΙΣ ΕΝΝΟΙΕΣ ΚΑΙ ΕΙΣΑΓΩΓΙΚΑ ΣΤΟΙΧΕΙΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Ηλεκτρικό στοιχείο: Κάθε στοιχείο που προσφέρει, αποθηκεύει και καταναλώνει

Διαβάστε περισσότερα

Ενισχυτές Μετρήσεων. 3.1 Ο διαφορικός Ενισχυτής

Ενισχυτές Μετρήσεων. 3.1 Ο διαφορικός Ενισχυτής 3 Ενισχυτές Μετρήσεων 3.1 Ο διαφορικός Ενισχυτής Πολλές φορές ένας ενισχυτής σχεδιάζεται ώστε να αποκρίνεται στη διαφορά µεταξύ δύο σηµάτων εισόδου. Ένας τέτοιος ενισχυτής ονοµάζεται ενισχυτής διαφοράς

Διαβάστε περισσότερα

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Ακολουθιακή Λογική Κεφάλαιο 7 ο Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταστάθεια 2. Μανδαλωτές 3. Flip Flops Flops 4. Δομές διοχέτευσης 5. Διανομή ρολογιού 6. Συγχρονισμός

Διαβάστε περισσότερα

ΗΥ-121: Ηλεκτρονικά Κυκλώματα Γιώργος Δημητρακόπουλος. Βασικές Αρχές Ηλεκτρικών Κυκλωμάτων

ΗΥ-121: Ηλεκτρονικά Κυκλώματα Γιώργος Δημητρακόπουλος. Βασικές Αρχές Ηλεκτρικών Κυκλωμάτων Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών ΗΥ-121: Ηλεκτρονικά Κυκλώματα Γιώργος Δημητρακόπουλος Άνοιξη 2008 Βασικές Αρχές Ηλεκτρικών Κυκλωμάτων Ηλεκτρικό ρεύμα Το ρεύμα είναι αποτέλεσμα της κίνησης

Διαβάστε περισσότερα

βαθµίδων µε D FLIP-FLOP. Μονάδες 5

βαθµίδων µε D FLIP-FLOP. Μονάδες 5 Κεφάλαιιο: 6 ο Τίίτλος Κεφαλαίίου:: Μανταλωτές & Flip Flop (Ιούνιος 2004 ΤΕΕ Ηµερήσιο) Να σχεδιάσετε καταχωρητή δεξιάς ολίσθησης τεσσάρων βαθµίδων µε D FLIP-FLOP. Μονάδες 5 (Ιούνιος 2005 ΤΕΕ Ηµερήσιο)

Διαβάστε περισσότερα

5. Τροφοδοτικά - Ι.Σ. ΧΑΛΚΙΑ ΗΣ διαφάνεια 1. Ανορθωµένη τάση Εξοµαλυµένη τάση Σταθεροποιηµένη τάση. Σχηµατικό διάγραµµα τροφοδοτικού

5. Τροφοδοτικά - Ι.Σ. ΧΑΛΚΙΑ ΗΣ διαφάνεια 1. Ανορθωµένη τάση Εξοµαλυµένη τάση Σταθεροποιηµένη τάση. Σχηµατικό διάγραµµα τροφοδοτικού 5. Τροφοδοτικά - Ι.Σ. ΧΑΛΚΙΑ ΗΣ διαφάνεια 1 5. ΤΡΟΦΟ ΟΤΙΚΑ 220 V, 50 Hz. 0 V Μετασχηµατιστής Ανορθωµένη τάση Εξοµαλυµένη τάση Σταθεροποιηµένη τάση 0 V 0 V Ανορθωτής Σχηµατικό διάγραµµα τροφοδοτικού Φίλτρο

Διαβάστε περισσότερα

Επικοινωνίες I FM ΔΙΑΜΟΡΦΩΣΗ. Τεχνολογικό Εκπαιδευτικό Ίδρυμα Σερρών Τμήμα Πληροφορικής & Επικοινωνιών

Επικοινωνίες I FM ΔΙΑΜΟΡΦΩΣΗ. Τεχνολογικό Εκπαιδευτικό Ίδρυμα Σερρών Τμήμα Πληροφορικής & Επικοινωνιών Τεχνολογικό Εκπαιδευτικό Ίδρυμα Σερρών Τμήμα Πληροφορικής & Επικοινωνιών Επικοινωνίες I ΔΙΑΜΟΡΦΩΣΗ ΓΩΝΙΑΣ FM ΔΙΑΜΟΡΦΩΣΗ Σήμα FM Η ακόλουθη εξίσωση δίδει την ισοδύναμη για τη διαμόρφωση συχνότητας έκφραση

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 2. Ανάλυση Ηλεκτρικού Σήµατος

ΚΕΦΑΛΑΙΟ 2. Ανάλυση Ηλεκτρικού Σήµατος ΚΕΦΑΛΑΙΟ Ανάλυση Ηλεκτρικού Σήµατος. Εισαγωγή Τα σήµατα εξόδου από µετρητικές διατάξεις έχουν συνήθως τη µορφή ηλεκτρικών σηµάτων. Πριν από την καταγραφή ή περαιτέρω επεξεργασία, ένα σήµα υφίσταται µια

Διαβάστε περισσότερα

Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF

Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF Κεφάλαιο 6. NA Σωτήριος Ματακιάς, -3, Σχεδίαση Τηλεπικοινωνιακών I Κυκλωμάτων, Κεφάλαιο 5 /3 Βασικές παράμετροι των NA: Receiver Front End Z =5Ω RF Filter - -8dB Z =5Ω

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Μάθηµα 4ο.. Λιούπης

Ψηφιακά Ηλεκτρονικά. Μάθηµα 4ο.. Λιούπης Ψηφιακά Ηλεκτρονικά Μάθηµα 4ο. Λιούπης Λογική συζευγµένου εκποµπού Emitter-coupled logic (ECL) Χρησιµοποιούνται BJT transistor, µόνο στην ενεργή περιοχή Εµφανίζονται µικρές αλλαγές δυναµικού µεταξύ των

Διαβάστε περισσότερα

Flip-Flop: D Control Systems Laboratory

Flip-Flop: D Control Systems Laboratory Flip-Flop: Control Systems Laboratory Είναι ένας τύπος συγχρονιζόμενου flip- flop, δηλαδή ενός flip- flop όπου οι έξοδοί του δεν αλλάζουν μόνο με αλλαγή των εισόδων R, S αλλά χρειάζεται ένας ωρολογιακός

Διαβάστε περισσότερα

Μνήμες RAM. Διάλεξη 12

Μνήμες RAM. Διάλεξη 12 Μνήμες RAM Διάλεξη 12 Δομή της διάλεξης Εισαγωγή Κύτταρα Στατικής Μνήμης Κύτταρα Δυναμικής Μνήμης Αισθητήριοι Ενισχυτές Αποκωδικοποιητές Διευθύνσεων Ασκήσεις 2 Μνήμες RAM Εισαγωγή 3 Μνήμες RAM RAM: μνήμη

Διαβάστε περισσότερα

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Ακολουθιακή Λογική Κεφάλαιο 10 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταευστάθεια 2. Μανδαλωτές 3. Flip

Διαβάστε περισσότερα

Υπολογίστε τη Vout. Aπ: Άγει η κάτω δίοδος:

Υπολογίστε τη Vout. Aπ: Άγει η κάτω δίοδος: Παράδειγµα 8 Υπολογίστε τη Vout. Aπ: Άγει η κάτω δίοδος: 0,7 + 2200I 5V = 0 V D 4,3 I D = = 1, 95mA 2200 + 5 2200I D + Vout = 0 Vout=-0,7V Παράδειγµα 9 Το παρακάτω σχήµα παριστάνει κύκλωµα φόρτισης µιας

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) Διεργασίες Μικροηλεκτρονικής Τεχνολογίας, Οξείδωση, Διάχυση, Φωτολιθογραφία, Επιμετάλλωση, Εμφύτευση, Περιγραφή CMOS

Διαβάστε περισσότερα

Για τη μοντελοποίηση των ταλαντωτών μπορεί να χρησιμοποιηθεί το παρακάτω δομικό διάγραμμα:

Για τη μοντελοποίηση των ταλαντωτών μπορεί να χρησιμοποιηθεί το παρακάτω δομικό διάγραμμα: 7. ΤAΛΑΝΤΩΤΕΣ 7.. Γενικά Οι ταλαντωτές είναι κυκλώματα που, στην έξοδό τους, εμφανίζουν κυματομορφές συγκεκριμένης συχνότητας f o. Οι ταλαντωτές περιλαμβάνουν έναν ενισχυτή και ένα κύκλωμα θετικής ανάδρασης

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

ΕΚΠΑΙΔΕΥΤΙΚΟ ΕΠΟΠΤΙΚΟ ΥΛΙΚΟ

ΕΚΠΑΙΔΕΥΤΙΚΟ ΕΠΟΠΤΙΚΟ ΥΛΙΚΟ ΤΕΙ ΣΤΕΡΕΑΣ ΕΛΛΑΔΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΩΝ ΜΗΧΑΝΙΚΩΝ ΤΕ ΕΚΠΑΙΔΕΥΤΙΚΟ ΕΠΟΠΤΙΚΟ ΥΛΙΚΟ ΗΛΕΚΤΡΟΝΙΚΕΣ ΗΛΕΚΤΡΙΚΕΣ ΜΕΤΡΗΣΕΙΣ Χ. ΤΣΩΝΟΣ ΛΑΜΙΑ 2013 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 2η. Σημειώσεις μαθήματος: E mail:

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 2η. Σημειώσεις μαθήματος: E mail: Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/ E mail: pasv@teiath.gr 2 1 Όπως

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΙΑΓΩΝΙΣΜΑ ΣΤΗ ΦΥΣΙΚΗ Γ ΛΥΚΕΙΟΥ ΚΑΤΕΥΘΥΝΣΗΣ ΣΤΙΣ ΜΗΧΑΝΙΚΕΣ ΚΑΙ ΗΛΕΚΤΡΙΚΕΣ ΤΑΛΑΝΤΩΣΕΙΣ

ΙΑΓΩΝΙΣΜΑ ΣΤΗ ΦΥΣΙΚΗ Γ ΛΥΚΕΙΟΥ ΚΑΤΕΥΘΥΝΣΗΣ ΣΤΙΣ ΜΗΧΑΝΙΚΕΣ ΚΑΙ ΗΛΕΚΤΡΙΚΕΣ ΤΑΛΑΝΤΩΣΕΙΣ ΙΑΓΩΝΙΣΜΑ ΣΤΗ ΦΥΣΙΚΗ Γ ΛΥΚΕΙΟΥ ΚΑΤΕΥΘΥΝΣΗΣ ΣΤΙΣ ΜΗΧΑΝΙΚΕΣ ΚΑΙ ΗΛΕΚΤΡΙΚΕΣ ΤΑΛΑΝΤΩΣΕΙΣ Θέµα Α Στις ερωτήσεις -4 να βρείτε τη σωστή απάντηση. Α. Για κάποιο χρονικό διάστηµα t, η πολικότητα του πυκνωτή και

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

NETCOM S.A. ΨΗΦΙΑΚΟΣ ΕΛΕΓΧΟΣ ΠΑΛΜΟΜΕΤΑΤΡΟΠΕΩΝ DIGITAL CONTROL OF SWITCHING POWER CONVERTERS

NETCOM S.A. ΨΗΦΙΑΚΟΣ ΕΛΕΓΧΟΣ ΠΑΛΜΟΜΕΤΑΤΡΟΠΕΩΝ DIGITAL CONTROL OF SWITCHING POWER CONVERTERS NETCOM S.A. ΨΗΦΙΑΚΟΣ ΕΛΕΓΧΟΣ ΠΑΛΜΟΜΕΤΑΤΡΟΠΕΩΝ DIGITAL CONTROL OF SWITCHING POWER CONVERTERS Αρχή λειτουργίας των Αναλογικών και ψηφιακών Παλμομετατροπεων Ο παλμός οδήγησης ενός παλμομετατροπέα, με αναλογική

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Προαιρετική εργασία

Ψηφιακά Ηλεκτρονικά. Προαιρετική εργασία Τ.Ε.Ι. ΑΘΗΝΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΒΙΟΙΑΤΡΙΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ Ψηφιακά Ηλεκτρονικά Προαιρετική εργασία «Κατασκευή δυαδικού απαριθμητή με δεκαδική απεικόνιση δεκάδων και μονάδων» Συνυπεύθυνος

Διαβάστε περισσότερα

Κεφάλαιο 11. Κυκλώματα Χρονισμού

Κεφάλαιο 11. Κυκλώματα Χρονισμού Κεφάλαιο 11. Κυκλώματα Χρονισμού Σύνοψη Στο κεφάλαιο αυτό αναλύεται η λειτουργία των κυκλωμάτων χρονισμού. Τα κυκλώματα αυτά παρουσιάζουν πολύ μεγάλο πρακτικό ενδιαφέρον και απαιτείται να λειτουργούν με

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. 1-3 Κέρδος Τάσης του ιαφορικού Ενισχυτή µε FET s 8

ΠΕΡΙΕΧΟΜΕΝΑ. 1-3 Κέρδος Τάσης του ιαφορικού Ενισχυτή µε FET s 8 ΠΕΡΙΕΧΟΜΕΝΑ 1 ΙΑΦΟΡΙΚΟΣ ΕΝΙΣΧΥΤΗΣ 1 1-1 Κέρδος Τάσης του ιαφορικού Ενισχυτή µε BJT s 1 και ιπλή Έξοδο Ανάλυση µε το Υβριδικό Ισοδύναµο του Τρανζίστορ 2 Ανάλυση µε βάση τις Ενισχύσεις των Βαθµίδων CE- 4

Διαβάστε περισσότερα

Βασικά Στοιχεία Αναλογικών Ηλεκτρονικών

Βασικά Στοιχεία Αναλογικών Ηλεκτρονικών Βασικά Στοιχεία Αναλογικών Ηλεκτρονικών Ηλεκτρονική ΗΥ231 Εισαγωγή στην Ηλεκτρονική Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Σήµατα Ένα αυθαίρετο σήµα τάσης v s (t) 2 Φάσµα συχνοτήτων των σηµάτων

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ MM505 ΗΛΕΚΤΡΙΚΕΣ ΜΗΧΑΝΕΣ ΒΙΟΜΗΧΑΝΙΚΟΙ ΑΥΤΟΜΑΤΙΣΜΟΙ Εργαστήριο ο - Θεωρητικό Μέρος Βασικές ηλεκτρικές μετρήσεις σε συνεχές και εναλλασσόμενο

Διαβάστε περισσότερα

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής Τ.Ε.

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS Εισαγωγή στη Μικροηλεκτρονική (ΕΤΥ-482) 1 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS Α. Αναστροφέας MOSFET. Α.1 Αναστροφέας MOSFET µε φορτίο προσαύξησης. Ο αναστροφέας MOSFET (πύλη NOT) αποτελείται από

Διαβάστε περισσότερα

Εισαγωγή στην Ανάλυση Συστηµάτων Αυτοµάτου Ελέγχου: Χρονική Απόκριση και Απόκριση Συχνότητας

Εισαγωγή στην Ανάλυση Συστηµάτων Αυτοµάτου Ελέγχου: Χρονική Απόκριση και Απόκριση Συχνότητας ΚΕΣ Αυτόµατος Έλεγχος Εισαγωγή στην Ανάλυση Συστηµάτων Αυτοµάτου Ελέγχου: Χρονική Απόκριση και Απόκριση Συχνότητας 6 Ncola Tapaoul Βιβλιογραφία Ενότητας Παρασκευόπουλος [5]: Κεφάλαιο 4 Παρασκευόπουλος

Διαβάστε περισσότερα

ΜΕΤΑΤΡΟΠΕΙΣ ΣΥΝΕΧΟΥΣ ΡΕΥΜΑΤΟΣ

ΜΕΤΑΤΡΟΠΕΙΣ ΣΥΝΕΧΟΥΣ ΡΕΥΜΑΤΟΣ ΜΑΘ.. 12 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΜΕΤΑΤΡΟΠΕΙΣ ΣΥΝΕΧΟΥΣ ΡΕΥΜΑΤΟΣ 1. ΓΕΝΙΚΑ Οι μετατροπείς συνεχούς ρεύματος επιτελούν τη μετατροπή μιας τάσης συνεχούς μορφής, σε συνεχή τάση με ρυθμιζόμενο σταθερό πλάτος ή και πολικότητα.

Διαβάστε περισσότερα

Συλλογή & Επεξεργασία Δεδομένων Εργαστήριο 5. Ρυθμίζοντας τη Φορά Περιστροφής. Σύστημα Συλλογής & Επεξεργασίας Μετρήσεων

Συλλογή & Επεξεργασία Δεδομένων Εργαστήριο 5. Ρυθμίζοντας τη Φορά Περιστροφής. Σύστημα Συλλογής & Επεξεργασίας Μετρήσεων Σκοπός Συλλογή & Επεξεργασία Δεδομένων Εργαστήριο 5 Ρυθμίζοντας τη Φορά Περιστροφής DC Κινητήρα. Σύστημα Συλλογής & Επεξεργασίας Μετρήσεων Βασική δομή ενός προγράμματος στο LabVIEW. Εμπρόσθιο Πλαίσιο (front

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ. Εργαστήριο 8 ο. Αποδιαμόρφωση PAM-PPM με προσαρμοσμένα φίλτρα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ. Εργαστήριο 8 ο. Αποδιαμόρφωση PAM-PPM με προσαρμοσμένα φίλτρα Τμήμα Πληροφορικής και Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΨΗΦΙΑΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ Εργαστήριο 8 ο Αποδιαμόρφωση PAM-PPM με προσαρμοσμένα φίλτρα Βασική Θεωρία Σε ένα σύστημα μετάδοσης

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6. Σχ.6.1. Απλή συνδεσµολογία καθρέπτη ρεύµατος.

ΚΕΦΑΛΑΙΟ 6. Σχ.6.1. Απλή συνδεσµολογία καθρέπτη ρεύµατος. ΚΕΦΑΛΑΙΟ 6 6.1 ΚΑΘΡΕΠΤΕΣ ΡΕΥΜΑΤΟΣ Σε ένα καθρέπτη ρεύµατος, το ρεύµα του κλάδου της εξόδου είναι πάντα ίσο µε το ρεύµα του κλάδου της εισόδου, αποτελεί δηλαδή το είδωλο του. Μία τέτοια διάταξη δείχνει

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 ΚΥΚΛΩΜΑ R-L-C: ΣΥΝΔΕΣΗ ΣΕ ΣΕΙΡΑ ΣΥΝΤΟΝΙΣΜΟΣ

ΑΣΚΗΣΗ 7 ΚΥΚΛΩΜΑ R-L-C: ΣΥΝΔΕΣΗ ΣΕ ΣΕΙΡΑ ΣΥΝΤΟΝΙΣΜΟΣ ΑΣΚΗΣΗ 7 ΚΥΚΛΩΜΑ R-L-C: ΣΥΝΔΕΣΗ ΣΕ ΣΕΙΡΑ ΣΥΝΤΟΝΙΣΜΟΣ 1 Σκοπός Στην άσκηση αυτή μελετάται η συμπεριφορά ενός κυκλώματος RLC σε σειρά κατά την εφαρμογή εναλλασσόμενου ρεύματος. Συγκεκριμένα μελετάται η μεταβολή

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

1 1+ Η εφαρµογή ανάδρασης υποβιβάζει την αντίσταση εξόδου στην τιµή

1 1+ Η εφαρµογή ανάδρασης υποβιβάζει την αντίσταση εξόδου στην τιµή V o g S o ( R r ), m Επειδή β, είναι Τ V,. Το κέρδος κλειστού βρόχου υπολογίζεται ως Vf, 0,957, Η αντίσταση εισόδου είναι ίση µε ΜΩ. Η αντίσταση εξόδου είναι z o 5 k 40k 4, 44kΩ Η εφαρµογή ανάδρασης υποβιβάζει

Διαβάστε περισσότερα

3. ίοδος-κυκλώµατα ιόδων - Ι.Σ. ΧΑΛΚΙΑ ΗΣ διαφάνεια 1. Kρυσταλλοδίοδος ή δίοδος επαφής. ίοδος: συνδυασµός ηµιαγωγών τύπου Ρ και Ν ΤΕΙ ΧΑΛΚΙ ΑΣ

3. ίοδος-κυκλώµατα ιόδων - Ι.Σ. ΧΑΛΚΙΑ ΗΣ διαφάνεια 1. Kρυσταλλοδίοδος ή δίοδος επαφής. ίοδος: συνδυασµός ηµιαγωγών τύπου Ρ και Ν ΤΕΙ ΧΑΛΚΙ ΑΣ 3. ίοδος-κυκλώµατα ιόδων - Ι.Σ. ΧΑΛΚΙΑ ΗΣ διαφάνεια 1 3. ΙΟ ΟΣ ΚΑΙ ΚΥΚΛΩΜΑΤΑ ΙΟ ΩΝ Kρυσταλλοδίοδος ή δίοδος επαφής ίοδος: συνδυασµός ηµιαγωγών τύπου Ρ και Ν 3. ίοδος-κυκλώµατα ιόδων - Ι.Σ. ΧΑΛΚΙΑ ΗΣ διαφάνεια

Διαβάστε περισσότερα

Ενισχυτικές Διατάξεις 1. Ο Τελεστικός ενισχυτής 741

Ενισχυτικές Διατάξεις 1. Ο Τελεστικός ενισχυτής 741 Ενισχυτικές Διατάξεις 1 Ο Τελεστικός ενισχυτής 741 Ενισχυτικές Διατάξεις 2 Iστορική Αναδρομή 1964 Ο Bob Widlar σχεδιαζει το πρώτο ΤΕ: τον 702. Μόνο 9 transistors, απολαβή OL: 1000 Πολύ ακριβός : $300 per

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ. 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. ρ. Λάμπρος Μπισδούνης.

ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ. 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. ρ. Λάμπρος Μπισδούνης. ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ ρ. Λάμπρος Μπισδούνης Καθηγητής η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ T... ΥΤΙΚΗΣ ΕΛΛΑ ΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. Περιεχόμενα ης ενότητας

Διαβάστε περισσότερα

ΕΧΕΙ ΤΑΞΙΝΟΜΗΘΕΙ ΑΝΑ ΕΝΟΤΗΤΑ ΚΑΙ ΑΝΑ ΤΥΠΟ ΓΙΑ ΔΙΕΥΚΟΛΥΝΣΗ ΤΗΣ ΜΕΛΕΤΗΣ ΣΑΣ ΚΑΛΗ ΕΠΙΤΥΧΙΑ ΣΤΗ ΠΡΟΣΠΑΘΕΙΑ ΣΑΣ ΚΙ 2014

ΕΧΕΙ ΤΑΞΙΝΟΜΗΘΕΙ ΑΝΑ ΕΝΟΤΗΤΑ ΚΑΙ ΑΝΑ ΤΥΠΟ ΓΙΑ ΔΙΕΥΚΟΛΥΝΣΗ ΤΗΣ ΜΕΛΕΤΗΣ ΣΑΣ ΚΑΛΗ ΕΠΙΤΥΧΙΑ ΣΤΗ ΠΡΟΣΠΑΘΕΙΑ ΣΑΣ ΚΙ 2014 ΤΟ ΥΛΙΚΟ ΕΧΕΙ ΑΝΤΛΗΘΕΙ ΑΠΟ ΤΑ ΨΗΦΙΑΚΑ ΕΚΠΑΙΔΕΥΤΙΚΑ ΒΟΗΘΗΜΑΤΑ ΤΟΥ ΥΠΟΥΡΓΕΙΟΥ ΠΑΙΔΕΙΑΣ http://wwwstudy4examsgr/ ΕΧΕΙ ΤΑΞΙΝΟΜΗΘΕΙ ΑΝΑ ΕΝΟΤΗΤΑ ΚΑΙ ΑΝΑ ΤΥΠΟ ΓΙΑ ΔΙΕΥΚΟΛΥΝΣΗ ΤΗΣ ΜΕΛΕΤΗΣ ΣΑΣ ΚΑΛΗ ΕΠΙΤΥΧΙΑ ΣΤΗ

Διαβάστε περισσότερα

ΔΥΝΑΜΙΚΗ & ΕΛΕΓΧΟΣ - ΕΡΓΑΣΤΗΡΙΟ

ΔΥΝΑΜΙΚΗ & ΕΛΕΓΧΟΣ - ΕΡΓΑΣΤΗΡΙΟ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΠΡΟΗΓΜΕΝΑ ΣΥΣΤΗΜΑΤΑ ΠΑΡΑΓΩΓΗΣ, ΑΥΤΟΜΑΤΙΣΜΟΥ & ΡΟΜΠΟΤΙΚΗΣ ΔΥΝΑΜΙΚΗ & ΕΛΕΓΧΟΣ - ΕΡΓΑΣΤΗΡΙΟ ΧΕΙΜ17-18 ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 2 ΕΛΕΓΧΟΣ ΤΑΧΥΤΗΤΑΣ

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο Εργαστηριακή Άσκηση 4: Πειραματική μελέτη συστημάτων διαμόρφωσης συχνότητας (FΜ) Δρ.

Διαβάστε περισσότερα

ΘΕΜΑ 1 ο. α. τα μήκη κύματος από 100m έως 50m ονομάζονται κύματα νύχτας και τα μήκη κύματος από 50m έως 10m ονομάζονται κύματα ημέρας.

ΘΕΜΑ 1 ο. α. τα μήκη κύματος από 100m έως 50m ονομάζονται κύματα νύχτας και τα μήκη κύματος από 50m έως 10m ονομάζονται κύματα ημέρας. ΑΡΧΗ 1ΗΣ ΣΕΛΙΔΑΣ Γ ΤΑΞΗ ΕΠΑΛ (ΟΜΑΔΑ Α ) & ΜΑΘΗΜΑΤΩΝ ΕΙΔΙΚΟΤΗΤΑΣ ΕΠΑΛ (ΟΜΑΔΑ Β ) ΠΑΡΑΣΚΕΥΗ 06/05/016 - ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ ΣΥΝΟΛΟ ΣΕΛΙΔΩΝ: ΕΞΙ (6) ΘΕΜΑ 1 ο ΕΝΔΕΙΚΤΙΚΕΣ ΑΠΑΝΤΗΣΕΙΣ

Διαβάστε περισσότερα

8. ιακοπτική Λειτουργία Τρανζίστορ- Ι.Σ. Χαλκιάδης διαφάνεια 1. ιακοπτική λειτουργία: περιοχή κόρου: ON ΤΕΙ - ΧΑΛΚΙ ΑΣ. περιοχή αποκοπής: OFF

8. ιακοπτική Λειτουργία Τρανζίστορ- Ι.Σ. Χαλκιάδης διαφάνεια 1. ιακοπτική λειτουργία: περιοχή κόρου: ON ΤΕΙ - ΧΑΛΚΙ ΑΣ. περιοχή αποκοπής: OFF 8. ιακοπτική Λειτουργία Τρανζίστορ- Ι.Σ. Χαλκιάδης διαφάνεια 1 8. ΚΥΚΛΩΜΑΤΑ Ο ΗΓΗΣΗΣ ΦΟΡΤΙΟΥ Το τρανζίστορ σαν διακόπτης ιακοπτική λειτουργία: περιοχή κόρου: ON περιοχή αποκοπής: OFF 8. ιακοπτική Λειτουργία

Διαβάστε περισσότερα

ΔΙΑΓΩΝΙΣΜΑ ΣΤΗΝ ΑΠΛΗ ΑΡΜΟΝΙΚΗ ΤΑΛΑΝΤΩΣΗ

ΔΙΑΓΩΝΙΣΜΑ ΣΤΗΝ ΑΠΛΗ ΑΡΜΟΝΙΚΗ ΤΑΛΑΝΤΩΣΗ ΔΙΑΓΩΝΙΣΜΑ ΣΤΗΝ ΑΠΛΗ ΑΡΜΟΝΙΚΗ ΤΑΛΑΝΤΩΣΗ ΘΕΜΑ 1 Α. Ερωτήσεις πολλαπλής επιλογής 1. Σώμα εκτελεί Α.Α.Τ με περίοδο Τ και πλάτος Α. Αν διπλασιάσουμε το πλάτος της ταλάντωσης τότε η περίοδος της θα : α. παραμείνει

Διαβάστε περισσότερα

Πόλωση των Τρανζίστορ

Πόλωση των Τρανζίστορ Πόλωση των Τρανζίστορ Πόλωση λέμε την κατάλληλη συνεχή τάση που πρέπει να εφαρμόσουμε στο κύκλωμα που περιλαμβάνει κάποιο ηλεκτρονικό στοιχείο (π.χ τρανζίστορ), έτσι ώστε να εξασφαλίσουμε την ομαλή λειτουργία

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Θετικών Επιστημών Τεχνολογίας Τηλεπικοινωνιών Τμήμα Επιστήμης και Τεχνολογίας Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΕΠΙΚΟΙΝΩΝΙΕΣ ΙI Εργαστήριο 5 ο : Προσαρμοσμένα Φίλτρα Βασική

Διαβάστε περισσότερα

Ενισχυτής κοινής πηγής (common source amplifier)

Ενισχυτής κοινής πηγής (common source amplifier) Εισαγωγή στην Ηλεκτρονική Βασικά κυκλώµατα ενισχυτών µε transstr MOS Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Transstr ως ενισχυτής Ενισχυτής κοινής πηγής (cmmn surce amplfer (κύκλωµα αντιστροφέα

Διαβάστε περισσότερα

ÏÅÖÅ. Α. 3. Στις οπτικοηλεκτρονικές διατάξεις δεν ανήκει: α. η δίοδος laser β. το τρανζίστορ γ. η φωτοδίοδος δ. η δίοδος φωτοεκποµπής LED Μονάδες 5

ÏÅÖÅ. Α. 3. Στις οπτικοηλεκτρονικές διατάξεις δεν ανήκει: α. η δίοδος laser β. το τρανζίστορ γ. η φωτοδίοδος δ. η δίοδος φωτοεκποµπής LED Μονάδες 5 Επαναληπτικά Θέµατα ΟΕΦΕ 007 Γ ΛΥΚΕΙΟΥ ΤΕΧΝΟΛΟΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ ΗΛΕΚΤΡΟΛΟΓΙΑ ΟΜΑ Α Α Για τις παρακάτω προτάσεις Α. έως και Α.4 να γράψετε στο τετράδιό σας τον αριθµό της πρότασης και δίπλα σε κάθε αριθµό

Διαβάστε περισσότερα

ιαγώνισµα στις Ταλαντώσεις ΦΡΟΝΤΙΣΤΗΡΙΟ ΜΕΤΑΙΧΜΙΟ 1

ιαγώνισµα στις Ταλαντώσεις ΦΡΟΝΤΙΣΤΗΡΙΟ ΜΕΤΑΙΧΜΙΟ 1 ιαγώνισµα στις Ταλαντώσεις ΦΡΟΝΤΙΣΤΗΡΙΟ ΜΕΤΑΙΧΜΙΟ 1 ΘΕΜΑ 1 0 Να γράψετε στο τετράδιό σας τον αριθµό καθεµιάς από τις παρακάτω ερωτήσεις 1-4 και δίπλα το γράµµα που αντιστοιχεί στη σωστή απάντηση. 1. Το

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Τμήμα Πληροφορικής και Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΨΗΦΙΑΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ Εργαστήριο 9 ο : Διαμόρφωση BPSK & QPSK Βασική Θεωρία Εισαγωγή Κατά την μετάδοση ψηφιακών δεδομένων

Διαβάστε περισσότερα

Διάρκεια 90 min. Στις ερωτήσεις 1-4 να επιλέξετε το γράµµα που αντιστοιχεί στη σωστή απάντηση:

Διάρκεια 90 min. Στις ερωτήσεις 1-4 να επιλέξετε το γράµµα που αντιστοιχεί στη σωστή απάντηση: 2ο ΓΕΛ ΠΕΙΡΑΙΑ Α Οµάδα ΔΙΑΓΩΝΙΣΜΑ ΦΥΣΙΚΗΣ ΘΕΤΙΚΗΣ-ΤΕΧΝ/ΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Ονοµατεπώνυµο: Τµήµα: Ηµεροµηνία: 2/2/200 Διάρκεια 90 min Ζήτηµα ο Στις ερωτήσεις -4 να επιλέξετε το γράµµα που αντιστοιχεί στη σωστή

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 5 ΠΡΟΣΟΜΟΙΩΤΗΣ PLC SIMATIC S7-300

ΚΕΦΑΛΑΙΟ 5 ΠΡΟΣΟΜΟΙΩΤΗΣ PLC SIMATIC S7-300 ΚΕΦΑΛΑΙΟ 5 ΠΡΟΣΟΜΟΙΩΤΗΣ PLC SIATIC S7-300 5. Σκοπός του προσομοιωτή. Χωρίς τον προσομοιωτή ο έλεγχος της ορθότητας ενός προγράμματος μπορεί να γίνει μόνο offline με τη χρήση του λογισμικού STEP 7 της Siemens

Διαβάστε περισσότερα

6. Τελεστικοί ενισχυτές

6. Τελεστικοί ενισχυτές 6. Τελεστικοί ενισχυτές 6. Εισαγωγή Ο τελεστικός ενισχυτής (OP AMP) είναι ένας ενισχυτής με μεγάλη απολαβή στον οποίο προσαρτάται ανάδραση, ώστε να ελέγχεται η λειτουργία του. Χρησιμοποιείται για την πραγματοποίηση

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ Εργαστήριο Τεχνολογίας Υλικού & Αρχιτεκτονικής Υπολογιστών ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ 4.1 MOS Τρανζίστορ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ΙV ΤΟ MOS ΤΡΑΝΖΙΣΤΟΡ 4.1.1 Εισαγωγή: Αντικείµενο της εργαστηριακής

Διαβάστε περισσότερα

Μετρήσεις µε παλµογράφο

Μετρήσεις µε παλµογράφο Η6 Μετρήσεις µε παλµογράφο ΜΕΡΟΣ 1 ο ΠΑΛΜΟΓΡΑΦΟΣ Α. Γενικά Κατά την απεικόνιση ενός εναλλασσόµενου µεγέθους (Σχήµα 1), είναι γνωστό ότι στον κατακόρυφο άξονα «Υ» παριστάνεται το πλάτος του µεγέθους, ενώ

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Θετικών Επιστημών Τεχνολογίας Τηλεπικοινωνιών Τμήμα Επιστήμης και Τεχνολογίας Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΕΠΙΚΟΙΝΩΝΙΕΣ ΙI Εργαστήριο 8 ο : Προσαρμοσμένα Φίλτρα Βασική

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΛΟΓΙΑ ΤΕΧΝΟΛΟΓΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ (ΚΥΚΛΟΥ ΤΕΧΝΟΛΟΓΙΑΣ ΚΑΙ ΠΑΡΑΓΩΓΗΣ) Γ ΤΑΞΗΣ ΕΝΙΑΙΟΥ ΛΥΚΕΙΟΥ 2002

ΗΛΕΚΤΡΟΛΟΓΙΑ ΤΕΧΝΟΛΟΓΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ (ΚΥΚΛΟΥ ΤΕΧΝΟΛΟΓΙΑΣ ΚΑΙ ΠΑΡΑΓΩΓΗΣ) Γ ΤΑΞΗΣ ΕΝΙΑΙΟΥ ΛΥΚΕΙΟΥ 2002 ΗΛΕΚΤΡΟΛΟΓΙΑ ΤΕΧΝΟΛΟΓΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ (ΚΥΚΛΟΥ ΤΕΧΝΟΛΟΓΙΑΣ ΚΑΙ ΠΑΡΑΓΩΓΗΣ) Γ ΤΑΞΗΣ ΕΝΙΑΙΟΥ ΛΥΚΕΙΟΥ 00 ΕΚΦΩΝΗΣΕΙΣ ΟΜΑ Α I A. Στις ερωτήσεις 1-4 να γράψετε στο τετράδιό σας τον αριθµό της ερώτησης και δίπλα

Διαβάστε περισσότερα

ΜΕΡΟΣ Α: Απαραίτητες γνώσεις

ΜΕΡΟΣ Α: Απαραίτητες γνώσεις ΜΕΡΟΣ Α: Απαραίτητες γνώσεις Φίλτρα RC Τα φίλτρα RC είναι από τις σπουδαίες εφαρμογές των πυκνωτών. Τα πιο απλά φίλτρα αποτελούνται από έναν πυκνωτή και μία αντίσταση σε σειρά. Με μια διαφορετική ματιά

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ

ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ ΚΕΦΑΛΑΙΟ 3ο ΣΥΣΤΗΜΑΤΑ ΕΚΠΟΜΠΗΣ & ΛΗΨΗΣ Ρ/Τ ΣΥΣΤΗΜΑΤΩΝ Γενικό διάγραμμα πομπού ΕΠΕΞΕΡΓΑΣΙΑ ΥΨΗΛΕΣ ΣΥΧΝΟΤΗΤΕΣ Δημιουργία φέροντος σήματος Το φέρον σήμα (fo) παράγεται από ημιτονικούς

Διαβάστε περισσότερα

f o = 1/(2π LC) (1) και υφίσταται απόσβεση, λόγω των ωμικών απωλειών του κυκλώματος (ωμική αντίσταση της επαγωγής).

f o = 1/(2π LC) (1) και υφίσταται απόσβεση, λόγω των ωμικών απωλειών του κυκλώματος (ωμική αντίσταση της επαγωγής). Συστήματα εκπομπής Το φέρον σήμα υψηλής συχνότητας (f o ) δημιουργείται τοπικά στον πομπό από κύκλωμα αρμονικού (ημιτονικού) ταλαντωτή. Η αρχή λειτουργίας των ταλαντωτών L-C στηρίζεται στην αυτοταλάντωση,

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ Σελίδα 1 από 12

ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ Σελίδα 1 από 12 ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ Σελίδα από ΦΥΛΛΑ ΙΟ ο η : Ο ρόλος του δέκτη είναι να ενισχύει επιλεκτικά και να επεξεργάζεται µόνο το ωφέλιµο φέρον, ώστε να αποδίδει στην έξοδο την πληροφορία. η : Τα βασικά

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ. 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. ρ. Λάμπρος Μπισδούνης.

ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ. 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. ρ. Λάμπρος Μπισδούνης. ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ ρ. Λάμπρος Μπισδούνης Καθηγητής 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ T.E.I. ΥΤΙΚΗΣ ΕΛΛΑ ΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. Περιεχόμενα 3 ης

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα