Ανάπτυξη Μεθοδολογίας Σχεδιασµού Βέλτιστων Επεξεργαστών Ειδικού Σκοπού ΠΕΝΕ -2003

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Ανάπτυξη Μεθοδολογίας Σχεδιασµού Βέλτιστων Επεξεργαστών Ειδικού Σκοπού ΠΕΝΕ -2003"

Transcript

1 Ανάπτυξη Μεθοδολογίας Σχεδιασµού Βέλτιστων Επεξεργαστών Ειδικού Σκοπού ΠΕΝΕ Τίτλος: Συγγραφείς: Κωδικός: Π 3.1 Έκδοση: 1.0 Τύπος: Εµπιστευτικότητα: Καθορισµός του Γενικού Αρχιτεκτονικού Περιγράµµατος για Επεξεργαστές Ειδικού Σκοπού Συνόλου Εντολών Νικόλαος Καββαδίας (Ερευνητής Α.Π.Θ.) Παραδοτέο ηµόσια Ηµεροµηνία: Μάιος 16, 2007 Πρόγραµµα: Λέξεις-Κλειδιά: Πρόλογος: Πρόγραµµα Ενίσχυσης του Ερευνητικού υναµικού (ΠΕΝΕ 2003) αρχιτεκτονικό περίγραµµα, επεξεργαστές ειδικού σκοπού, αρχιτεκτονική διαύλου, λειτουργικές µονάδες, µηδενική επιβάρυνση βρόχων, εφαρµογές δοκιµής, εκτίµηση επιδόσεων, πρότυπα εργαλεία ανάπτυξης Αντικείµενο του παραδοτέου αυτού είναι ο καθορισµός του γενικού αρχιτεκτονικού περιγράµµατος των στοχευόµενων επεξεργαστών ειδικού σκοπού (ΕΕΣ) συνόλου εντολών. Για το σκοπό αυτό εξετάζονται, όπως προκύπτουν µέσα από συστηµατική διερεύνηση των αντίστοιχων πεδίων λύσεων, οι κατάλληλες επιλογές για την οργάνωση τοπικής µνήµης και καταχωρητών, την αρχιτεκτονική διαύλου, την οργάνωση, χαρακτηριστικά και επικοινωνία/διασύνδεση των λειτουργικών µονάδων των επεξεργαστών, και το σχεδιασµό της µονάδας ελέγχου. Στη διαδικασία αυτή αξιοποιείται η ροή ανάλυσης εφαρµογών της Φάσεως 2, για το χαρακτηρισµό των εφαρµογών δοκιµής για τους ΕΕΣ. Το γενικό αρχιτεκτονικό περίγραµµα των ΕΕΣ θα συµπληρωθεί από τις ειδικές εντολές και λειτουργικές µονάδες που εξυπηρετούν τις εφαρµογές δοκιµής. Οι ειδικές εντολές θα παραχθούν µε αυτοποιηµένη διαδικασία που περιγράφεται στο παραδοτέο της Φάσης 4. Copyright 2007 Α.Π.Θ.

2 Ιστορικό Ηµεροµηνία Έκδοση Σχόλια Μάιος 06, Πρώτη πρόχειρη έκδοση Μάιος 16, Τελική έκδοση Κοινοποίηση: δηµόσια 2

3 Πίνακας περιεχοµένων Πίνακας περιεχοµένων ΕΙΣΑΓΩΓΗ Αντικείµενο του παραδοτέου ΣΤΟΙΧΕΙΑ ΤΟΥ ΓΕΝΙΚΟΥ ΑΡΧΙΤΕΚΤΟΝΙΚΟΥ ΠΕΡΙΓΡΑΜΜΑΤΟΣ Σύνολο προκαθορισµένων παραµέτρων του γενικού αρχιτεκτονικού περιγράµµατος Καθορισµός των υποστηριζόµενων RTOS/µικροπυρήνων και επιλογή της υποδοµής εξοµοίωσης ιερεύνηση της αρχιτεκτονικής διαύλου ηµοφιλείς αρχιτεκτονικές διαύλου ιερεύνηση της οργάνωσης της αρχιτεκτονικής µνήµης και καταχωρητών Καθορισµός των χαρακτηριστικών και της διασυνδεσιµότητας των λειτουργικών µονάδων των ΕΕΣ Καθορισµός των χαρακτηριστικών ιδιοτήτων για την εσωτερική οργάνωση των ειδικών λειτουργικών µονάδων Ένα πλήρες παράδειγµα: Ανάλυση του αλγορίθµου συµπίεσης µορφής κατά MPEG-4 και σχεδιασµός της µονάδας για την λειτουργία «ανεύρεσης διανυσµάτων κίνησης» Καθορισµός της διασυνδεσιµότητας των ειδικών λειτουργικών µονάδων στους ΕΕΣ Η ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΕΛΕΓΧΟΥ ΒΡΟΧΩΝ ZOLC Αναπαράσταση για εφαρµογές µε εντατική επεξεργασία βρόχων Περιπτώσεις χρήσης µιας µηχανής ZOLC Περίπτωση µελέτης: Πολλαπλασιασµός πινάκων κατά µπλοκ σε ένα DSP µε λειτουργίες ZOLC Υποστήριξη βρόχων πολλαπλών εισόδων για λειτουργία ZOLC Υποστήριξη για εναλλακτικά µοντέλα λειτουργιών βρόχων στο υλικό (hardware looping) Ενσωµάτωση της µονάδας ZOLC σε προγραµµατιζόµενους επεξεργαστές Άποψη περιγράµµατος ενός επεξεργαστή ενισχυµένου από λειτουργίες ZOLC Αρχιτεκτονικές λεπτοµέρειες για τα στοιχεία του ZOLC Προδιαγραφές σε επίπεδο RTL για τους µηχανισµούς ZOLC ιεξοδική µελέτη των απαιτήσεων για την υποστήριξη του ZOLC στο υλικό και στο λογισµικό Αυτοµατοποιώντας τις βελτιστοποιήσεις ZOLC εντός πλαισίου εργασίας µεταγλωττιστήβελτιστοποιητή επιπέδου συµβολοµεταφραστή Αναλύοντας διεξοδικά τις επιπλοκές του ZOLC στο υλικό και στο λογισµικό των ενσωµατωµένων επεξεργαστών Εκτίµηση επιδόσεων για RISC µικροεπεξεργαστές που διαθέτουν µηχανισµούς ZOLC Απαιτήσεις σε υλικό για την υλοποίηση µηχανισµών ZOLC σε ενσωµατωµένους επεξεργαστές Επιδόσεις σε κύκλους µηχανής για έναν MIPS-I επεξεργαστή µε αρχιτεκτονικές τροποποιήσεις κατά ZOLC Πειράµατα για τον hdsp ASIP ΤΟ ΓΕΝΙΚΟ ΑΡΧΙΤΕΚΤΟΝΙΚΟ ΠΕΡΙΓΡΑΜΜΑ ΤΩΝ ΕΕΣ ΓΛΩΣΣΑΡΙ ΟΡΩΝ ΠΑΡΑΡΤΗΜΑ ΑΝΑΦΟΡΕΣ Κοινοποίηση: δηµόσια 3

4 1. ΕΙΣΑΓΩΓΗ Στο πλαίσιο της Φάσης 3, ο ερευνητής Α.Π.Θ. (Νικόλαος Καββαδίας) καθόρισε το γενικό αρχιτεκτονικό περίγραµµα, το οποίο προσδιορίζει τα κατάλληλα χαρακτηριστικά για το ρεπερτόριο εντολών και τη µικροαρχιτεκτονική των επεξεργαστών ειδικού σκοπού (ΕΕΣ) συνόλου εντολών, τους οποίους στοχεύει η µεθοδολογία. Το αρχιτεκτονικό περίγραµµα καθορίζεται από ένα σύνολο παραµέτρων για τα επιµέρους συστατικά στοιχεία που απαρτίζουν έναν επεξεργαστή ΕΕΣ. Το αρχιτεκτονικό περίγραµµα θεωρούµε ότι µπορεί να περιγραφεί από τις εξής συνιστώσες: 1. τον διάδροµο δεδοµένων (datapath) 2. τον διάδροµο ελέγχου (control path) 3. την αρχιτεκτονική διαύλου (bus architecture) 4. την οργάνωση µνήµης και καταχωρητών (register and memory organization) Κάθε µια από τις παραπάνω συνιστώσες µπορεί να διαχωριστεί σε επιµέρους παραµέτρους. Για παράδειγµα, στα πλαίσια της διερεύνησης του πεδίου λύσεων για το ποια είναι η κατάλληλη δοµή και ιδιότητες του διαδρόµου δεδοµένων, εξετάστηκε η οργάνωση, οι επιµέρους ιδιότητες και ο τρόπος επικοινωνίας/διασύνδεσης των λειτουργικών µονάδων που τον απαρτίζουν και χρησιµοποιούνται για την εκτέλεση των εντολών. Αντίστοιχα, για τη διερεύνηση της κατάλληλης αρχιτεκτονικής διαύλου εξετάστηκαν τα επικρατώντα πρότυπα όσον αφορά χαρακτηριστικές τιµές για τις παραµέτρους τους όπως: οι υποστηριζόµενες τοπολογίες (π.χ. crossbar switch, point-to-point, κ.λ.π.), ο χρονισµός εναλλαγής αφέντη (master), το πρωτόκολλο διαιτησίας (arbitration protocol) καθώς και άλλες Αντικείµενο του παραδοτέου Στην Φάση 3 διερευνάται το πεδίο λύσεων κάτω από εξωτερικούς περιορισµούς για την εύρεση του γενικού αρχιτεκτονικού περιγράµµατος για τους υποστηριζόµενους επεξεργαστές ΕΕΣ. Οι θεωρούµενοι επεξεργαστές ΕΕΣ στο παραδοτέο αυτό (Π3.1) είναι ASIP (Application-Specific Instruction-set Processor) καθιερωµένος όρος της διεθνούς βιβλιογραφίας οι οποίοι σχεδιάζονται για τις βέλτιστες επιδόσεις µιας εφαρµογής ή ενός στενού συνόλου εφαρµογών µε κοινά χαρακτηριστικά. Η δυνατότητα «αναβάθµισης» στην προοπτική τροποποιήσεων των εφαρµογών στόχων (π.χ. όπως επιβάλλεται από την ανάπτυξη νέων προτύπων, π.χ. όπως συµβαίνει µε τη µετάβαση από την απωλεστική συµπίεση στατικής εικόνας κατά JPEG στο πρότυπο JPEG2000) υποστηρίζεται από τη δυνατότητα προγραµµατισµού τους. ηλαδή ο προγραµµατιστής-χρήστης ενός ASIP δύναται να προσθέσει νέες λειτουργικότητες σε µορφή ενσωµατωµένου λογισµικού (embedded software ως firmware) δεσµευόµενος όµως στη χρήση του ρεπερτορίου εντολών που ήδη διαθέτει ο ASIP. Σε σχέση µε τους RISP (Reconfigurable Instruction-set Processor), οι ASIP δεν διαθέτουν τη δυνατότητα επαναπροσδιορισµού (reconfiguration) µε την οποία επιτυγχάνεται η τροποποίηση του συνόλου εντολών (άρα και των αντίστοιχων µικροαρχιτεκτονικών χαρακτηριστικών όπως οι λειτουργίες για τις οποίες προσδιορίζονται οι λειτουργικές µονάδες του RISP). Η διευρυµένη ευελιξία των RISP έρχεται µε κάποιο κόστος, όπως η (σηµαντικά) χαµηλότερη µέγιστη συχνότητα ρολογιού, η αυξηµένη κατανάλωση ισχύος/ενέργειας και η απαίτηση για µεγαλύτερη επιφάνεια ολοκληρωµένου στις διαφορετικές τεχνολογίες διεργασιών (FPGA, standard-cell ASIC κ.λ.π.). Στην πράξη, θα πρέπει να σηµειωθεί ότι σηµαντικό ρόλο στην ώθηση τόσο των ASIP όσο και των RISP διαδραµατίζει ο αναµενόµενος χρόνος ζωής των προϊόντων που περιλαµβάνουν Κοινοποίηση: δηµόσια 4

5 έναν ή παραπάνω ΕΕΣ. Σήµερα, οι αντίστοιχοι χρόνοι είναι ιδιαίτερα σύντοµοι (12-18 µήνες για προϊόντα όπως κινητά τηλέφωνα και φορητά τερµατικά µε δυνατότητες πολυµέσων/ gaming) µε αποτέλεσµα πολλές φορές το ζητούµενο να είναι η εισαγωγή ενός νέου, βελτιωµένου και προσαρµοσµένου ASIP στην αγορά, το ταχύτερο δυνατό και µε ελεγχόµενο κόστος ανάπτυξης/παραγωγής. Για την επίτευξη αυτού του στόχου, απαιτείται το κατάλληλο πλαίσιο εργασίας, το οποίο να µπορεί να προσφέρει: ταχεία ανάλυση εφαρµογών, γέννηση/επιλογή ειδικών εντολών, σύνθεση των συναρτησιακών µονάδων και ενσωµάτωση τους στον ASIP ταχεία επαναστόχευση (ή γέννηση) των απαιτούµενων εργαλείων ανάπτυξης εφαρµογών (µεταγλωττιστής, συµβολοµεταφραστής, συνδέτης, προσοµοιωτές σε διαφορετικά επίπεδα ανάλυσης/ακρίβειας, εκσφαλµατωτής) Η ερευνητική προσπάθεια η οποία καταγράφεται στα παραδοτέα των Φάσεων λαµβάνει υπόψη και τις προαναφερθείσες «ρεαλιστικές» απαιτήσεις για την ανάπτυξη επεξεργαστών ειδικού σκοπού συνόλου εντολών. Στο πλαίσιο της έρευνας κατά τη Φάση 3 του προγράµµατος, η διερεύνηση του πεδίου λύσεων για τον καθορισµό του γενικού αρχιτεκτονικού περιγράµµατος των ΕΕΣ περιλαµβάνει τα εξής: Την εξέταση της οργάνωσης της αρχιτεκτονικής µνήµης προγράµµατος και δεδοµένων και της αρχιτεκτονικής καταχωρητών. Στο µέρος αυτό θα εξεταστεί η κατάλληλη αρχιτεκτονική κρυφής µνήµης (τοπολογία και παράµετροι όπως το µέγεθος, εύρος σειράς, αλγόριθµος ανανέωσης καταχωρήσεων κ.λ.π.) αλλά και η αναγκαιότητα για εξειδικευµένες ιεραρχίες µνήµης. Για την αποδοτική µεταφορά δεδοµένων από και προς τα στοιχεία µνήµης, θα διερευνηθεί η χρησιµότητα τεχνικών άµεσης προσπέλασης (DMA). Προκειµένου την εξέταση της οργάνωσης αρχιτεκτονικής καταχωρητών είναι αναγκαίο να εκτιµηθούν παράµετροι που προκύπτουν από τη δυναµική ανάλυση των εφαρµογών δοκιµής (χρόνος ζωής καταχωρητών, κορεσµός καταχωρητών, αριθµός θυρών ανάγνωσης/εγγραφής). ιερεύνηση των αρχιτεκτονικών διαύλου που: καθορίζονται από πρότυπα (λεπτοµερής περιγραφή και τεκµηρίωση) ή/και υφίστανται υλοποιήσεις αναφοράς τους σε γλώσσα σχεδιασµού υλικού (VHDL), συνθέσιµες σε οποιαδήποτε τεχνολογία (FPGA ή standard cell ASIC), κατά προτίµηση δε και σε κατάλληλη µορφή για τη προσοµοίωσή τους µε ακρίβεια κύκλου (SystemC) και που να είναι διαθέσιµες κάτω από ελεύθερες άδειες. Σκοπός της διερεύνησης αυτής είναι η σύγκριση των αρχιτεκτονικών διαύλου που θα µπορούσαν, χωρίς επιπρόσθετο κόστος, να χρησιµοποιηθούν για την διασύνδεση των ΕΕΣ της µεθοδολογίας σε συστήµατα-σε-ολοκληρωµένο (SoC). Ανάµεσα στις αρχιτεκτονικές διαύλου που θα εξεταστούν (ορισµένες έχουν αποτελέσει αντικείµενο αντίστοιχων πρότερων µελετών [Pel03],[Uss01]) ΕΕΣ) είναι οι εξής: AMBA 2.0 (AHB) [AMBA],[Fly97], Coreconnect PLB/OPB [Coreconnect], και Wishbone [Wishbone]. ιερεύνηση της εσωτερικής οργάνωσης (διασύνδεση/επικοινωνία) και του είδους (εσωτερική δοµή και εξυπηρετούµενες λειτουργίες) των λειτουργικών µονάδων των ΕΕΣ. Πραγµατοποιείται µε αποτίµηση των χαρακτηριστικών ποσοτήτων που προκύπτουν από τη στατική και δυναµική ανάλυση των στοχευόµενων εφαρµογών, όπως οι χρησιµοποιούµενοι τύποι δεδοµένων, η συχνότητα εµφάνισης εντολών/λειτουργιών (για παράδειγµα αν µια εντολή δεν εµφανίζεται σε καµία από τις εφαρµογές που στοχεύει ο ΕΕΣ, τότε επιτρέπεται η αφαίρεσή της από το υποστηριζόµενο ρεπερτόριο εντολών), κ.α. Η λήψη των χαρακτηριστικών τιµών γίνεται µε χρήση (µεταξύ άλλων) των περασµάτων µεταγλωττιστή που περιγράφονται στον Πίνακα 4.2 του παραδοτέου Π2.1. Για παράδειγµα, λαµβάνοντας Κοινοποίηση: δηµόσια 5

6 υπόψη τα αποτελέσµατα για τον µέγιστο και µέσο βαθµό εγγενούς παραλληλίας της εφαρµογής (ILP) θα διερευνηθεί η δυνατότητα για έκδοση πολλαπλών λειτουργιών, µε δέσµευση των αντίστοιχων θυρίδων εκτέλεσης στον ίδιο βήµα ελέγχου (control step). Ακόµη, η µελέτη των χρησιµοποιούµενων τύπων δεδοµένων µπορεί να αξιοποιηθεί στο σχεδιασµό εντολών τύπου SIMD και των λειτουργικών µονάδων που τις εξυπηρετούν. Περιπτώσεις συστηµατικών παρεµβάσεων στο γενικό αρχιτεκτονικό περίγραµµα. Οι παρεµβάσεις αυτές αντικατοπτρίζουν το ποια ιδιαίτερα χαρακτηριστικά θα πρέπει να υποστηρίζονται σε γενικότερο πλαίσιο για το διάδροµο ελέγχου και το διάδροµο δεδοµένων των ΕΕΣ. Τα χαρακτηριστικά αυτά προκύπτουν έπειτα από συνδυασµό της µελέτης των αποτελεσµάτων αλλά και εφόσον υποστηρίζονται από δοκιµές (π.χ. προσοµοιώσεις ακρίβειας κύκλου) εµπειρικών εκτιµήσεων του ερευνητή Α.Π.Θ.. Ιδιαίτερα αναφέρουµε την περίπτωση της αρχιτεκτονικής µονάδας ελέγχου βρόχων ZOLC (Zero-Overhead Loop Controller) που έχει αναπτυχθεί από τον ερευνητή Α.Π.Θ. [Kav05a],[Kav06]. Η αρχιτεκτονική ZOLC επιτυγχάνει την εξάλειψη της επιβάρυνσης (σε κύκλους εκτέλεσης) που οφείλεται στην πραγµατοποίηση των λειτουργιών βρόχων (όπως αύξηση του δείκτη βρόχου, συνθήκη εξόδου από το βρόχο, και διακλάδωση στην αντίστοιχη διεύθυνση του απαριθµητή προγράµµατος), καθώς αυτές εξυπηρετούνται από τον ελεγκτή ZOLC χωρίς την απαίτηση σε κύκλους µηχανής. Μορφές της ZOLC αρχιτεκτονικής ελέγχου δύνανται να χρησιµοποιηθούν τόσο σε µη-προγραµµατιζόµενους [Kav05a] όσο και σε προγραµµατιζόµενους ΕΕΣ (δηλ. συνόλου εντολών) [Kav06]. Εξέταση του ενδεχόµενου υποστήριξης λειτουργιών διαχείρισης για την επικοινωνία χρήστησυστήµατος. Σηµειώνεται ότι γενικά, η ροή σχεδιασµού ΕΕΣ στοχεύει στην ανάπτυξη επεξεργαστών ειδικού σκοπού για την υποστήριξη ενσωµατωµένων εφαρµογών, οι οποίες σε πολλές περιπτώσεις δεν απαιτούν τη χρήση λειτουργικού συστήµατος. Όταν υπάρχει απαίτηση για χρήση RTOS θα εξετάζεται ο τρόπος εξυπηρέτησης των λειτουργιών διαχείρισης στο SoC σύστηµα. Πρέπει να σηµειωθεί ότι σηµαντικό ρόλο στην ανάπτυξη (σχεδιασµός, εκσφαλµάτωση και αποτίµηση επιδόσεων) λειτουργικών συστηµάτων κατέχει η χρήση εξοµοιωτή (emulator). Για το λόγο αυτό θα πρέπει να εξεταστούν οι υπάρχουσες υποδοµές εξοµοίωσης για τις οποίες ο πηγαίος κώδικας είναι ελεύθερα διαθέσιµος υπό µηδενικό κόστος. Στο τελευταίο στάδιο της Φάσης 3, ο ερευνητής Α.Π.Θ. προβαίνει στην καταγραφή του γενικού αρχιτεκτονικού περιγράµµατος. Επίσης, σκιαγραφείται η δοµή που προβλέπεται να έχει ένα SoC το οποίο περιλαµβάνει ΕΕΣ της µεθοδολογίας. Συνοψίζοντας, στα πλαίσια της Φάσης 3 απαιτείται η ικανοποίηση των παρακάτω: Καθορισµός της οργάνωσης µνήµης. Καθορισµός των προβλεπόµενων αρχιτεκτονικών καταχωρητών. Καθορισµός της αρχιτεκτονικής διαύλου για ενσωµάτωση των ΕΕΣ επεξεργασιών σε πρότυπα/υποθετικά SoC. Εξαγωγή των χαρακτηριστικών και της διασυνδεσιµότητας των λειτουργικών µονάδων του ΕΕΣ. Προσδιορίζονται οι αρχιτεκτονικές ιδιαιτερότητες που επιτρέπεται να περιλαµβάνει ένας ΕΕΣ της µεθοδολογίας. Πραγµατοποιείται πρώτη εκτίµηση επιδόσεων. Καθορισµός των υποστηριζόµενων RTOS/µικροπυρήνων και της υποδοµής εξοµοιωτή που θα χρησιµοποιηθεί για τη δοκιµή τους. Καθορισµός του γενικού αρχιτεκτονικού περιγράµµατος για ΕΕΣ. Κοινοποίηση: δηµόσια 6

7 2. ΣΤΟΙΧΕΙΑ ΤΟΥ ΓΕΝΙΚΟΥ ΑΡΧΙΤΕΚΤΟΝΙΚΟΥ ΠΕΡΙΓΡΑΜΜΑΤΟΣ 2.1. Σύνολο προκαθορισµένων παραµέτρων του γενικού αρχιτεκτονικού περιγράµµατος Στην πράξη, υφίσταται ένα ελάχιστο υποσύνολο χαρακτηριστικών του αρχιτεκτονικού περιγράµµατος το οποίο είναι αναγκαίο να προκαθοριστεί ώστε να είναι εφικτή η διαδικασία διερεύνησης των αντίστοιχων πεδίων λύσεων για τις παραµέτρους του. Για το σχεδιασµό του γενικού αρχιτεκτονικού περιγράµµατος και κατά επέκταση των στοχευόµενων ΕΕΣ συνόλου εντολών (αντικείµενο της Φάσης 5) δεχόµαστε ότι: το ρεπερτόριο εντολών των ΕΕΣ είτε είναι επεκτάσιµο (δηλαδή υφίσταται ένα βασικό σύνολο εντολών και οι ειδικές εντολές επιπροστίθενται ως εντολές επέκτασης) είτε σχεδιάζεται εκ του µηδενός (designed from scratch) οι ΕΕΣ είναι τύπου RISC. Η ιδιότητα αυτή δεν απαγορεύει την συνύπαρξη κάποιων «παραδοσιακών» στοιχείων των CISC επεξεργαστών, όπως είναι οι εντολές µε εκτέλεση πολλαπλών κύκλων. Τα RISC χαρακτηριστικά τα όποια θεωρούµε από το σηµείο αυτό και έπειτα ότι είτε είναι σταθερά είτε καθορίζουν τα όρια των πεδίων λύσεων για όλους τους ΕΕΣ είναι τα εξής: o o o o o o o o οι εντολές διαθέτουν κωδικοποίηση σταθερού εύρους bit ή εύρος το οποίο είναι ακέραιο πολλαπλάσιο ενός στοιχειώδους εύρους bit οι ΕΕΣ ακολουθούν την αρχιτεκτονική µνήµης Harvard, δηλαδή η µνήµη εντολών είναι διαχωρισµένη από τη µνήµη δεδοµένων και δεν χρησιµοποιούν τον ίδιο δίαυλο για την επικοινωνία λέξεων διεύθυνσης και δεδοµένων. Οι µνήµες µπορεί να είναι είτε byte-addressable είτε word-addressable ή ακόµη και να έχουν και τις δύο ιδιότητες η αρχιτεκτονική καταχωρητών δεν περιορίζεται στην υλοποίηση ως οµογενές αρχείο καταχωρητών. Οι καταχωρητές που είναι προσβάσιµοι από τον προγραµµατιστή (programmer s view) µπορεί να έχουν διαφορετικό εύρος bit επιτρέπονται οι εντολές πολλαπλών κύκλων επιτρέπεται η δροµολόγηση εντολών σε περισσότερες της µιας χρονοθυρίδες (time-slots) και αντίστοιχες λειτουργικές µονάδες επιτρέπεται η ύπαρξη τοπικών διασυνδέσεων για την επικοινωνία µεταξύ των λειτουργικών µονάδων οι ειδικές λειτουργικές µονάδες µπορούν να διαθέτουν στοιχεία τοπικής αποθήκευσης η ανάκληση εντολής από τη µνήµη εντολών γίνεται είτε σε ένα κύκλο είτε σε ένα βήµα ελέγχου οι ΕΕΣ διαθέτουν διεπαφή κατάλληλη για διασύνδεση σε επεξεργαστικό σύστηµα µέσω αρχιτεκτονικής διαύλου. ηλαδή δεν εξετάζονται οι περιπτώσεις «εξωτικών» µεθόδων επικοινωνίας όπως τα δίκτυα-σε-ολοκληρωµένο (network-onchip NoC). Τα εργαλεία ανάπτυξης λογισµικού (software development toolchain) που στοχεύουν τους υποστηριζόµενους επεξεργαστές ΕΕΣ να µπορούν να παραχθούν µε τη χρήση ελεύθερου λογισµικού/λογισµικού ανοικτού κώδικα. Ο περιορισµός αυτός τίθεται για δύο πρακτικούς λόγους: το κόστος απόκτησης της απαιτούµενης υποδοµής είναι µηδενικό, και η διαθεσιµότητα του πηγαίου κώδικα Κοινοποίηση: δηµόσια 7

8 είναι βασική προϋπόθεση για τη δυνατότητα τροποποίησης και επέκτασης του λογισµικού υποδοµής κατά απαίτηση Καθορισµός των υποστηριζόµενων RTOS/µικροπυρήνων και επιλογή της υποδοµής εξοµοίωσης Στην περίπτωση που απαιτείται η χρήση RTOS σε SoC σύστηµα που θα περιλαµβάνει ΕΕΣ της µεθοδολογίας, θεωρείται ότι υπάρχουν δύο βασικές δυνατότητες: το σύστηµα να περιλαµβάνει έναν GPP µε RISC αρχιτεκτονική που θα πραγµατοποιεί τις λειτουργίες ελέγχου και διαχείρισης. Ο GPP αναλαµβάνει όλη την επικοινωνία και γνωστοποιεί στον επεξεργαστή ειδικού σκοπού (µε κάποιου είδους κλήση συνάρτησης ή θεωρώντας τον ως περιφερειακό χαρτογραφηµένο στην µνήµη) ότι πρέπει να εκτελέσει κάποια διεργασία που απαιτεί η ενσωµατωµένη εφαρµογή. Το RTOS εξυπηρετείται από τον ίδιο τον ΕΕΣ. Στην περίπτωση που διαθέτει ικανό βασικό σύνολο εντολών, οι δυνατότητες εστιάζονται στο να υποστηρίζεται εξειδικευµένο λειτουργικό σύστηµα από τον ΕΕΣ, το οποίο δεν προϋποθέτει την ύπαρξη µονάδας διαχείρισης µνήµης (MMU). Στην περίπτωση αυτή, δεν χρησιµοποιείται εικονική µνήµη (virtual memory). Θεωρούµε ότι αυτή είναι µια εφικτή λύση, καθώς περιορίζει σηµαντικά την πολυπλοκότητα του ζητήµατος. Άλλη ενδεχόµενη λύση είναι η στόχευση κάποιου απλού µικροπυρήνα (microkernel) ο οποίος να µην προϋποθέτει ούτε καν την υποστήριξη συστήµατος αρχείων (file system). Η απόφαση για την υποστήριξη ή όχι λειτουργικού συστήµατος, δεν επηρεάζει άµεσα το αρχιτεκτονικό περίγραµµα του ίδιου του επεξεργαστή ειδικού σκοπού, αλλά το σύστηµα (SoC) στο οποίο χρησιµοποιείται. Για παράδειγµα, όταν υπάρχει απαίτηση υποστήριξης RTOS για επεξεργαστές αρχιτεκτονικής MIPS-I (ή παραπλήσιας) είναι αναγκαία η προσθήκη του συνεπερξεργαστή συστήµατος (cop0) για την υποστήριξη διακοπών (interrupts) και εξαιρέσεων λογισµικού (software exceptions). Τα λειτουργικά συστήµατα (RTOS/µικροπυρήνες) προς εξέταση καθορίστηκε να ικανοποιούν κατ αρχήν τα εξής: να έχουν ήδη στοχευτεί σε επεξεργαστές MIPS-I ή τύπου DLX. Θεωρούµε ότι το ρεπερτόρια εντολών των δύο αυτών αρχιτεκτονικών είναι πολύ κοντά στην εικονική µηχανή SUIFvm, που περιγράφει µια γενική και αντιπροσωπευτική RISC αρχιτεκτονική, αρκετά απλή ώστε να θεωρηθεί ως το βασικό σύνολο εντολών ενός ΕΕΣ. Αυτή η συνθήκη εξασφαλίζει ότι ο κόπος για την επαναστόχευσή τους (porting/retargeting) για τον ΕΕΣ θα είναι ελεγχόµενος καθώς οι δύο προαναφερθείσες αρχιτεκτονικές είναι απλούστερα οργανωµένες και διαθέτουν ικανοποιητική τεκµηρίωση. αν δεν συµβαίνει το παραπάνω, τότε θα πρέπει τα RTOS να είναι άµεσα επαναστοχεύσιµα σε οποιανδήποτε αρχιτεκτονική (µε ενδεχόµενες πολύ περιορισµένες ρυθµίσεις, όπως για συγκεκριµένες διευθύνσεις στο χάρτη µνήµης) να έχει δοκιµαστεί ή να υπάρχει ικανή τεκµηρίωση για αυτό σε συνδυασµό µε υπάρχοντα εργαλεία προσοµοίωσης (σε SystemC) ή/και εξοµοίωσης Έτσι, τα λειτουργικά συστήµατα τέθηκαν υπ όψη είναι τα εξής: uclinux χωρίς ή µε υποστήριξη MMU (για paging και multi-tasking). Αρκετά δηµοφιλής είναι η βασική διανοµή του uclinux [uclinux]. Topsy, ένα εκπαιδευτικό OS για τον MIPS. Κοινοποίηση: δηµόσια 8

9 DLXOS, εκπαιδευτικό OS για τον DLX. Το λειτουργικό σύστηµα µηχανής πεπερασµένων καταστάσεων (FSMOS) NESOS που είναι πλήρως υλοποιηµένο σε ANSI C. Αυτή η επιλογή είναι σχεδόν επιτακτική στην περίπτωση απουσίας GPP στο SoC, καθώς επιτρέπει την άµεση προτυποποίηση του OS, ανεξάρτητα του ακριβούς συνόλου εντολών και χαρακτηριστικών του ΕΕΣ. Plasma RTOS [Mlite], το δοκιµασµένο στην πράξη RTOS που διατίθεται µαζί µε τη διανοµή του Mlite soft-core επεξεργαστή. Το RTOS αυτό έχει σχεδιαστεί για χρήση σε εφαρµογές δικτύου καθώς διαθέτει υλοποίηση της TCP/IP στοίβας και HTTP server. Προσόν του είναι επίσης οι υλοποιήσεις µέρους των βιβλιοθηκών libc και libm που µπορούν να χρησιµοποιηθούν και σε άλλες χρήσεις του Plasma RTOS. Το TinyOS που σχεδιάστηκε κυρίως για χρήση σε συστήµατα αισθητήρων. Γενικά, η κατάλληλη επιλογή για το RTOS καθορίζεται σε συνάρτηση µε τις απαιτήσεις των εφαρµογών που πρόκειται να εξυπηρετούνται στο σύστηµα που περιλαµβάνει τον ΕΕΣ. Από τα παραπάνω λειτουργικά, το uclinux (χωρίς MMU) είναι µια καλή πρώτη επιλογή καθώς πρόκειται για ένα OS (αν και όχι ακριβώς πραγµατικού χρόνου) που έχει σήµερα κάθε πιθανή χρήση (ενώ π.χ. το Plasma RTOS και το TinyOS είναι περισσότερο στοχευµένα) και είναι ένα από τα περισσότερο διαδεδοµένα. Κατά δεύτερο λόγο, χρήσιµα είναι και τα NESOS και Plasma RTOS, καθώς προσφέρουν εύκολα επαναχρησιµοποιήσιµο κώδικα για την ανάπτυξη ενός ελαφρώς τροποποιηµένου RTOS, πιο κοντά στις εκάστοτε ανάγκες. Πρέπει να σηµειωθεί ότι σηµαντικό ρόλο στην ανάπτυξη (σχεδιασµός, εκσφαλµάτωση και αποτίµηση επιδόσεων) RTOS κατέχει η χρήση εξοµοιωτή (emulator). Ο εξοµοιωτής (όπως νοείται εδώ) παρέχει τα εξής: Προσοµοιωτή ακρίβειας εντολής για τον στοχευόµενο επεξεργαστή (είτε για τον GPP που οδηγεί τον ΕΕΣ είτε για τον ίδιο τον ΕΕΣ στην περίπτωση που εκτελεί λειτουργίες διαχείρισης συστήµατος) Υλοποιήσεις συσκευών σε επίπεδο συστήµατος όπως τερµατικά (terminals), ελεγκτές θυρών (RS232, PCI, USB κ.λ.π.), ελεγκτές συσκευών (δίσκων IDE, µνηµών flash, CDROM), δικτύου (Ethernet), DMA, µετρητών (timers) κ.λ.π. Υπάρχουν διαθέσιµες (ή µπορούν να συνταχθούν από τον ερευνητή Α.Π.Θ. τροποποιώντας ήδη υπάρχουσες για αρχιτεκτονικές MIPS-I ή DLX) διανοµές των λειτουργικών συστηµάτων οι οποίες θα πρέπει να µπορούν να προσοµοιωθούν µε χρήση της υποδοµής εξοµοίωσης. Οι υποδοµές εξοµοίωσης για τις οποίες ο πηγαίος κώδικας είναι ελεύθερα διαθέσιµος υπό µηδενικό κόστος και είναι εντός προδιαγραφών είναι οι: Gxemul [GXemul], qemu [Qemu], και vmips [VMIPS]. GXemul [GXemul] Ο GXemul είναι ένας αρκετά πλήρης εξοµοιωτής επεξεργαστικών συστηµάτων µε ακρίβεια εντολής. Είναι εξ ολοκλήρου γραµµένος σε C, και διαθέτει ικανοποιητικές υλοποιήσεις των επεξεργαστών MIPS, PowerPC, ARM και SuperH ενώ αναφέρεται ότι ορισµένοι συνδυασµοί (κύριως όσον αφορά τον MIPS) επεξεργαστή-λειτουργικού συστήµατος λειτουργούν πλήρως. Για παράδειγµα ο GXemul εξοµοιώνει χωρίς προβλήµατα το NetBSD πάνω σε MIPS συστήµατα. Επίσης, η διανοµή του GXemul παρέχει ένα βασικό σύστηµα (test-machine) το οποίο µπορεί να χρησιµοποιηθεί ως βάση για την ανάπτυξη συστηµάτων για τους ΕΕΣ. Κοινοποίηση: δηµόσια 9

10 Ο GXemul διαθέτει ολοένα και αυξανόµενη βάση χρηστών, αλλά κάποια επιθυµητά χαρακτηριστικά δεν υφίστανται, όπως είναι η δυνατότητα συνεργιστικής λειτουργίας µε SystemC προσοµοιωτές (επεξεργαστών ή/και περιφερειακών). Qemu [Qemu] Ο Qemu αποτελεί µια διαδεδοµένη υποδοµή εξοµοιωτή και διαθέτει ίσως τη µεγαλύτερη βάση χρηστών. Υποστηρίζει την εκτενέστερη γκάµα επεξεργαστών (IA-32, AMD64, MIPS, SPARC, ARM, SH4, PowerPC) και περιφερειακών (USB ελεγκτές, κάρτες δικτύου, κ.λ.π.). Το κυριότερο πλεονέκτηµά του είναι ότι έχει αναπτυχθεί κατάλληλο εργαλείο για την ενσωµάτωση επεξεργαστών και περιφερειακών που έχουν συνταχθεί σε SystemC, αλλά και υλοποίηση της αρχιτεκτονικής διαύλου AMBA (ενότητα 2.3). VMIPS [VMIPS] Ο VMIPS αποτελεί έναν εξοµοιωτή που έχει αναπτυχθεί για την MIPS R3000 RISC αρχιτεκτονική και υλοποιεί ένα βασικό υπολογιστικό σύστηµα. Οι διαθέσιµες συσκευές είναι µάλλον περιορισµένες, καθώς πρόκειται για µια απλή κονσόλα, ελεγκτή πληκτρολογίου (PS/2), και ορισµένες συσκευές του DECstation Το σηµαντικότερο όµως είναι ότι ο συντάκτης του VMIPS δεν παρέχει ολοκληρωµένα παραδείγµατα εξοµοίωσης κάποιου OS. Λαµβάνοντας υπ όψη τα παραπάνω, είναι φανερό ότι η υποδοµή Qemu µε τις προσθήκες συνεργιστικής υποστήριξης αρθρωµάτων (modules) σε SystemC είναι η πιο πρόσφορη επιλογή ιερεύνηση της αρχιτεκτονικής διαύλου Στο πλαίσιο της διερεύνησης για το γενικό αρχιτεκτονικό περίγραµµα των επεξεργαστών ΕΕΣ είναι αναγκαίο να καθοριστεί και η διεπαφή του (ο τρόπος επικοινωνίας/διασύνδεσης) στο πλαίσιο του SoC συστήµατος στο οποίο χρησιµοποιείται. Οι δύο επικρατώντες τρόποι διασύνδεσης SoC είναι η αρχιτεκτονική διαύλου (bus architecture) και το δίκτυο-σεολοκληρωµένο (NoC). Για τους ΕΕΣ της µεθοδολογίας θεωρούµε ότι πρόκειται κατ αρχήν να επικοινωνούν µέσω αρχιτεκτονικής διαύλου για τους εξής λόγους: Από σχετικές µελέτες (αν και σαφώς υπάρχουν «φανατικοί» που υπερθεµατίζουν µε αφοσίωση υπέρ του ενός ή του άλλου τρόπου διασύνδεσης) είναι σαφές ότι τα NoC απευθύνονται σε αρκετά πολύπλοκα συστήµατα (µε αρκετές δεκάδες ή εκατοντάδες στοιχεία επεξεργασίας). Μάλιστα, καθώς η επικοινωνία γίνεται µέσω πακέτων, η επιβάρυνση κύκλων για την διεκπεραίωση των µεταβιβάσεων µηνυµάτων σε ένα NoC ανέρχεται συνήθως σε δεκάδες κύκλων. Τα SoC που θεωρούνται στα πλαίσια του προγράµµατος, υποθέτουµε ότι συντίθενται από µικρό αριθµό στοιχείων επεξεργασίας (τυπικά 2-20) µε απαίτηση ταχείας µεταβίβασης δεδοµένων, διευθύνσεων και σηµάτων ελέγχου/χειραψίας. Υπάρχουν καλώς τεκµηριωµένα και δοκιµασµένα (δηλ. βρίσκονται σε χρήση για ικανό χρονικό διάστηµα) πρότυπα (προδιαγραφές) για αρχιτεκτονικές διαύλου. Στον χώρο των NoC δεν έχουν διαµορφωθεί πρότυπα επικυρωµένα από κάποια ανεξάρτητη αρχή ή κονσόρτιο και τα οποία να βρίσκονται σε εκτενή χρήση. Για τα περισσότερα πρότυπα αρχιτεκτονικών διαύλου υφίστανται ελεύθερα διαθέσιµες υλοποιήσεις αναφοράς. Και πάλι αυτό δεν συµβαίνει για τα NoC. Αντίθετα, η ανάπτυξη για NoC στηρίζεται σε υποδοµές προσοµοίωσης (π.χ. NoCem [NoCem], Noxim [Noxim]), οι περισσότερες από τις οποίες βρίσκονται σε Κοινοποίηση: δηµόσια 10

11 δοκιµαστικό στάδιο. Στην υποενότητα αυτή θα εξεταστούν λύσεις αρχιτεκτονικής διαύλου οι οποίες ικανοποιούν κάποιες βασικές προϋποθέσεις: ιατίθενται υπό άδεια που εξασφαλίζει τη δωρεάν χρήση τους (εξασφάλιση της µη καταβολής οποιασδήποτε µορφής royalties). Υιοθετούν σύγχρονο χρονισµό. Είναι υλοποιήσιµα σε οιανδήποτε τεχνολογία ολοκληρωµένων κυκλωµάτων (FPGA, ASIC). Συνοδεύονται από ικανή τεκµηρίωση. Υφίστανται υλοποιήσεις αναφοράς κατά προτίµηση σε VHDL (για σύνθεση σε υλικό) και σε SystemC, σε επίπεδο RTL ή σε µορφή µοντέλου επιπέδου συναλλαγής (Transaction Level Model - TLM). Υπάρχουν διαθέσιµες (ή είναι εύκολο να συνταχθούν) γέφυρες (bridges) για τη διεπαφή µε άλλα πρότυπα αρχιτεκτονικών διαύλου. Εφόσον αυτό συµβαίνει, IP πυρήνες (IP cores) που είχαν αρχικά σχεδιαστεί για αυτά τα πρότυπα µπορούν να χρησιµοποιηθούν (µε χρήση κάποιας ενδιάµεσης λογικής) και για το επιλεγµένο πρότυπο ηµοφιλείς αρχιτεκτονικές διαύλου Οι αρχιτεκτονικές διαύλου που θα εξεταστούν είναι οι: AMBA 2.0 AHB [Fly97],[AMBA] και η απλοποιηµένη µορφή του AHB-Lite [AHB-Lite], Coreconnect PLB/OPB [CoreConnect], Wishbone [Wishbone], SimpCon [SimpCon], Simplebus [Simplebus], και Greenbus [Greenbus]. AMBA [Fly97],[AMBA],[ARM] Η προδιαγραφή αρχιτεκτονικής διαύλου AMBA (Advanced Microcontroller Bus Architecture) αναπτύχθηκε από την ARM [Fly97],[ARM] και καθορίζει ένα σύνολο προτύπων για το σχεδιασµό ενσωµατωµένων επεξεργαστικών συστηµάτων υψηλών επιδόσεων. Η προδιαγραφή AMBA βρίσκεται υπό συνεχή εξέλιξη. Οι περισσότερο δηµοφιλείς εκδοχές είναι η AMBA 2.0 και η νεώτερη AMBA AXI. Το πρότυπο AMBA 2.0 καθορίζει τρεις διαφορετικές αρχιτεκτονικές διαύλων, τις AHB (Advanced High-Performance Bus), APB (Advanced Peripheral Bus) και ASB (Advanced System Bus). Η APB προσφέρει σηµαντικά χαµηλότερες επιδόσεις και αρχικά προοριζόταν µόνο για τη διασύνδεση ελεγκτών περιφερειακών συσκευών µέσω γέφυρας (apb2ahb), ενώ το ASB είναι στην ουσία µια παλαιότερη εκδοχή του AHB. Έτσι, µόνο το πρότυπο AHB (το APB ενδέχεται να χρησιµοποιηθεί για ορισµένους ελεγκτές συσκευών) έχει ουσιαστικό ενδιαφέρον. Το πρότυπο AMBA AXI (v 1.0) προσθέτει επιπλέον δυνατότητες στα AHB και APB, ενώ είναι προς τα πίσω συµβατό (backward compatible) µε αυτά. Οι κυριότερες από τις δυνατότητες αυτές είναι: ολοκλήρωση µεταβίβασης εκτός-σειράς (out-of-order), καλύτερη υποστήριξη αφεντών (masters) τύπου DMA, ξεχωριστές φάσεις διευθυνσιοδότησης/ελέγχου και δεδοµένων, απλοποιηµένες µεταβιβάσεις ριπής (burst transfers) και υποστήριξη για µη ευθυγραµµισµένες µεταβιβάσεις δεδοµένων (unaligned transfers). Η µεγάλη διάδοση του AMBA AHB, καθώς υφίσταται µεγάλος αριθµός από παραδείγµατα περιφερειακών [Opencores],[ARM] αλλά και ορισµένα εργαλεία αυτοµατοποίησης µέρους του σχεδιασµού της αρχιτεκτονικής διαύλου (όπως το λογισµικό ahb_system_generator [ASG]), αλλά και η ύπαρξη υλοποιήσεων αναφοράς τόσο σε VHDL όσο και σε SystemC, το καθιστούν ικανοποιητική επιλογή. Κοινοποίηση: δηµόσια 11

12 AHB-Lite [AHB-Lite] Το πρότυπο AHB-Lite αποτελεί υποσύνολο της πλήρους AMBA 2.0 AHB προδιαγραφής. Το AHB-Lite καθορίζει την αρχιτεκτονική διαύλου για συστήµατα µονού αφέντη (single-master). Όσον αφορά AHB συστήµατα µε ιεραρχία πολλαπλών στρώσεων (multi-layered) προβλέπεται η ύπαρξη ενός master ανά επίπεδο. Αφέντες και σκλάβοι (slaves) µπορούν να ανταλλαχθούν εύκολα ανάµεσα σε ένα πλήρες AHB και ένα AHB-Lite σύστηµα. Οι µόνες περιπτώσεις που απαιτούν λογική περιτυλίγµατος (wrapper) είναι η χρήση ενός AHB-Lite master σε AHB σύστηµα και ενός AHB slave (ο οποίος δεν διαθέτει τα σήµατα διεπαφής Split/Retry) στο AHB-Lite. Στην ουσία, το AHB-Lite προσφέρει µία απλούστερη επιλογή, απολύτως συµβατή µε το AHB, για συστήµατα single-master, διατηρώντας τα χαρακτηριστικά των διαύλων AHB. Coreconnect PLB/OPB [CoreConnect] Το πρότυπο Coreconnect [CoreConnect] περιλαµβάνει τις προδιαγραφές PLB (Processor Local Bus), OPB (On-chip Peripheral Bus) και DCR bus (Device Control Register bus) και περιγράφει µια ιεραρχικά δοµηµένη αρχιτεκτονική διαύλου που αναπτύχθηκε από την IBM. Το πρότυπο PLB (αντίστοιχο από πολλές απόψεις του AMBA AHB) υποστηρίζει οργάνωση διαύλου πολλαπλών master πολλαπλών slave µε κεντρική διαιτησία (central arbitration). Ο δίαυλος OPB (κατά το APB) επιτρέπει πολλαπλούς master πολλαπλούς slave αλλά οι µεταβιβάσεις είναι αποκλειστικά µονού κύκλου (single-cycle) και υλοποιείται µόνο µε κατανεµηµένη πολύπλεξη. Σήµερα, φαίνεται ότι από τα δύο κύρια πρότυπα CoreConnect (PLB, OPB) χρησιµοποιείται περισσότερο ο δίαυλος OPB (αν και τεχνικά κατώτερος) καθώς έχει επιλεχθεί από την Xilinx [Xilinx] ως το πρότυπο για την διασύνδεση συστηµάτων που κάνουν χρήση του ιδιοκτησιακού επεξεργαστή MicroBlaze [MicroBlaze]. Επίσης, ο σχεδιασµός γεφυρών από το OPB σε AHB και το αντίστροφο δεν είναι τετριµµένη διαδικασία. Για ορισµένα Xilinx FPGA (VirtexII-Pro, Virtex-5) που διαθέτουν προκαθορισµένο-στο-υλικό (hard-core) επεξεργαστή PowerPC, η διασύνδεση των τοπικών περιφερειακών του PowerPC γίνεται κατά το πρότυπο PLB. Το πρόβληµα µε αρκετά από τα περιφερειακά (ελεγκτές) που διατίθενται από την Xilinx είναι ότι είναι συνθέσιµα αποκλειστικά σε ιδιοκτησιακά FPGA. Γενικά, τα πρότυπα CoreConnect θεωρούνται αρκετά πλήρη όσον αφορά το κοµµάτι των προδιαγραφών και της τεκµηρίωσης. Ένα µειονέκτηµα είναι ότι είναι περισσότερο πολύπλοκα από τα αντίστοιχα του AMBA και η υλοποίηση αναφοράς είναι σε Verilog. Wishbone [Wishbone] Η αρχιτεκτονική SoC διασύνδεσης Wishbone αποτελεί µια µεταφερτή διεπαφή που µπορεί να χρησιµοποιηθεί για την διασύνδεση IP µονάδων µε οργάνωση διαύλου. Οι προδιαγραφές Wishbone προβλέπουν ποικιλία τρόπων διασύνδεσης όπως σηµείο-σε-σηµείο (point-topoint), ροής δεδοµένων (data flow), διαµοιρασµένου διαύλου (shared bus) και crossbar switch. Ιδιαίτερα, φαίνεται πως πρόκειται για το µοναδικό πρότυπο που προσδιορίζει την οργάνωση διαύλου κατά τη ροή δεδοµένων (σύνδεση των IP κατά τη ροή προώθησης των δεδοµένων όπως θα συνέβαινε σε µια γραµµή παραγωγής), ο οποίος είναι φυσικός τρόπος για τη διασύνδεση απλών µη-προγραµµατιζόµενων µονάδων. Εισάγει επίσης την έννοια των πινακίδων (tags) για τη δευτερεύουσα επισήµανση κάποιων σηµάτων. Κάτι όµως που κάνει τις προδιαγραφές Wishbone αρκετά διαφορετικές από τις AMBA και Coreconnect είναι το γεγονός ότι προσφέρουν µεγαλύτερο βαθµό ελευθερίας στο σχεδιασµό διασυνδέσεων µεταξύ αρθρωµάτων υλικού (modules) που σηµαίνει ότι λιγότερες παράµετροι είναι οριστικοποιηµένες από το πρότυπο. Συνοπτικά, το Wishbone ορίζει µια σειρά από ΚΑΝΟΝΕΣ (RULES), ΣΥΣΤΑΣΕΙΣ (RECOMMENDATIONS), ΕΙΣΗΓΗΣΕΙΣ (SUGGESTIONS), Α ΕΙΕΣ (PERMISSIONS) και ΠΑΡΑΤΗΡΗΣΕΙΣ (OBSERVATIONS). Αυτό δηµιουργεί το Κοινοποίηση: δηµόσια 12

Διαφορές single-processor αρχιτεκτονικών και SoCs

Διαφορές single-processor αρχιτεκτονικών και SoCs 13.1 Τα συστήματα και η επικοινωνία μεταξύ τους γίνονται όλο και περισσότερο πολύπλοκα. Δεν μπορούν να περιγραφούνε επαρκώς στο επίπεδο RTL καθώς αυτή η διαδικασία γίνεται πλέον αρκετά χρονοβόρα. Για αυτό

Διαβάστε περισσότερα

Σύστημα διασύνδεσης και. διαδικασία εισόδου-εξόδου

Σύστημα διασύνδεσης και. διαδικασία εισόδου-εξόδου ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 6 Σύστημα διασύνδεσης και διαδικασία εισόδου-εξόδου Τι είναι αρτηρία (Bus) ; Ένα σύνολο γραμμών διασύνδεσης Πρωτόκολλο (protocol) Περιγραφή Πρωτοκόλλου χρονικό διάγραμμα

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua.gr Διπλωματικές

Διαβάστε περισσότερα

Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Κώδικας μηχανής (E) Ο επεξεργαστής μπορεί να εκτελέσει το αρχιτεκτονικό σύνολο εντολών (instruction set architecture) Οι

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΚΕΦΑΛΑΙΟ I: ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ ΚΕΦΑΛΑΙΟ I: ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΠΕΡΙΕΧΟΜΕΝΑ ΚΕΦΑΛΑΙΟ I: ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1.1 ΕΙΣΑΓΩΓΙΚΗ ΑΝΑΦΟΡΑ ΣΤΑ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 1 1.1.1 Σήματα ψηφιακών συστημάτων 1 1.1.2 Παράλληλη και σειριακή μεταφορά πληροφορίας 2 1.1.3 Λογική τριών

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 2: Χειρισµός εδοµένων

ΚΕΦΑΛΑΙΟ 2: Χειρισµός εδοµένων ΚΕΦΑΛΑΙΟ 2: Χειρισµός εδοµένων 2.1 Αρχιτεκτονική Υπολογιστών 2.1 Αρχιτεκτονική Υπολογιστών 2.2 Γλώσσα Μηχανής 2.3 Εκτέλεση προγράµµατος 2.4 Αριθµητικές και λογικές εντολές 2.5 Επικοινωνία µε άλλες συσκευές

Διαβάστε περισσότερα

Αποµακρυσµένη κλήση διαδικασιών

Αποµακρυσµένη κλήση διαδικασιών Αποµακρυσµένηκλήση διαδικασιών Τοπική κλήση διαδικασιών Αποµακρυσµένη κλήση διαδικασιών Μεταβίβαση παραµέτρων Πρωτόκολλα επικοινωνίας Αντιγραφή µηνυµάτων Προδιαγραφές διαδικασιών RPC στο σύστηµα DCE Κατανεµηµένα

Διαβάστε περισσότερα

Προγραµµατισµός Συστηµάτων Πραγµατικού Χρόνου

Προγραµµατισµός Συστηµάτων Πραγµατικού Χρόνου Προγραµµατισµός Συστηµάτων Πραγµατικού Χρόνου Εφαρµογές σε µικρά ενσωµατωµένα συστήµατα Ιωάννης Καλόµοιρος Αναπληρωτής Καθηγητής Τµήµα Μηχανικών Πληροφορικής Μάθηµα 2ο Περίληψη και σηµαντικά σηµεία Τα

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Απόδοση ΚΜΕ. (Μέτρηση και τεχνικές βελτίωσης απόδοσης)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Απόδοση ΚΜΕ. (Μέτρηση και τεχνικές βελτίωσης απόδοσης) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Απόδοση ΚΜΕ (Μέτρηση και τεχνικές βελτίωσης απόδοσης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κεντρική Μονάδα Επεξεργασίας

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 13: (Μέρος Α ) Ενσωματωμένα Συστήματα Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής

Διαβάστε περισσότερα

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης i Στα σύγχρονα

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 3 : Μια άποψη του κορυφαίου επιπέδου λειτουργίας και διασύνδεσης του υπολογιστή Καρβούνης Ευάγγελος Η έννοια

Διαβάστε περισσότερα

i Throughput: Ο ρυθμός ολοκλήρωσης έργου σε συγκεκριμένο χρόνο

i Throughput: Ο ρυθμός ολοκλήρωσης έργου σε συγκεκριμένο χρόνο Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 6-7 Απόδοση ΚΜΕ (Μέτρηση και τεχνικές βελτίωσης απόδοσης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κεντρική Μονάδα Επεξεργασίας

Διαβάστε περισσότερα

Σελίδα 1 από 11. Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για:

Σελίδα 1 από 11. Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για: Σελίδα 1 από 11 Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για: την επικοινωνία, µε τα υπόλοιπα ολοκληρωµένα κυκλώµατα του υπολογιστικού συστήµατος. την παροχή τροφοδοσίας

Διαβάστε περισσότερα

Παραλληλισµός Εντολών (Pipelining)

Παραλληλισµός Εντολών (Pipelining) ΕΣ 08 Επεξεργαστές Ψηφιακών Σηµάτων Παραλληλισµός Εντολών (Pipelining) Τµήµα Επιστήµη και Τεχνολογίας Τηλεπικοινωνιών Πανεπιστήµιο Πελοποννήσου Βιβλιογραφία Ενότητας Kuo [2005]: Chapter 3: Section 3.4,

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua.gr ΠΡΟΗΓΜΕΝΑ ΘΕΜΑΤΑ

Διαβάστε περισσότερα

Τεχνολογίες Κύριας Μνήμης

Τεχνολογίες Κύριας Μνήμης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κύρια Μνήμη

Διαβάστε περισσότερα

1. Οργάνωση της CPU 2. Εκτέλεση εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο επίπεδο των επεξεργαστών

1. Οργάνωση της CPU 2. Εκτέλεση εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο επίπεδο των επεξεργαστών ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΟΡΓΑΝΩΣΗ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Ι Γ. Τσιατούχας 2 ο Κεφάλαιο ιάρθρωση 1. Οργάνωση της 2. εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο

Διαβάστε περισσότερα

; Γιατί είναι ταχύτερη η λήψη και αποκωδικοποίηση των εντολών σταθερού μήκους;

; Γιατί είναι ταχύτερη η λήψη και αποκωδικοποίηση των εντολών σταθερού μήκους; Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Αρχιτεκτονικές Συνόλου Εντολών (ΙΙ) (Δομή Εντολών και Παραδείγματα) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης Αρχιτεκτονική

Διαβάστε περισσότερα

Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ 1. Τι εννοούμε με τον όρο υπολογιστικό σύστημα και τι με τον όρο μικροϋπολογιστικό σύστημα; Υπολογιστικό

Διαβάστε περισσότερα

Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC

Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC Πλεονεκτήματα MPSoC Είναι ευκολότερο να σχεδιαστούν πολλαπλοί πυρήνες επεξεργαστών από τον σχεδιασμό ενός ισχυρότερου και πολύ πιο σύνθετου μονού επεξεργαστή.

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 5. Κύκλος Ζωής Εφαρμογών ΕΝΟΤΗΤΑ 2. Εφαρμογές Πληροφορικής. Διδακτικές ενότητες 5.1 Πρόβλημα και υπολογιστής 5.2 Ανάπτυξη εφαρμογών

ΚΕΦΑΛΑΙΟ 5. Κύκλος Ζωής Εφαρμογών ΕΝΟΤΗΤΑ 2. Εφαρμογές Πληροφορικής. Διδακτικές ενότητες 5.1 Πρόβλημα και υπολογιστής 5.2 Ανάπτυξη εφαρμογών 44 Διδακτικές ενότητες 5.1 Πρόβλημα και υπολογιστής 5.2 Ανάπτυξη εφαρμογών Διδακτικοί στόχοι Σκοπός του κεφαλαίου είναι οι μαθητές να κατανοήσουν τα βήματα που ακολουθούνται κατά την ανάπτυξη μιας εφαρμογής.

Διαβάστε περισσότερα

Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ 1. Παρακάτω δίνονται μερικοί από τους ακροδέκτες που συναντάμε στην πλειοψηφία των μικροεπεξεργαστών. Φτιάξτε έναν πίνακα που να

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΕΦΑΛΑΙΟ 7ο ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Γενικό διάγραμμα υπολογιστικού συστήματος Γενικό διάγραμμα υπολογιστικού συστήματος - Κεντρική Μονάδα Επεξεργασίας ονομάζουμε

Διαβάστε περισσότερα

J-GANNO. Σύντοµη αναφορά στους κύριους στόχους σχεδίασης και τα βασικά χαρακτηριστικά του πακέτου (προέκδοση 0.9Β, Φεβ.1998) Χάρης Γεωργίου

J-GANNO. Σύντοµη αναφορά στους κύριους στόχους σχεδίασης και τα βασικά χαρακτηριστικά του πακέτου (προέκδοση 0.9Β, Φεβ.1998) Χάρης Γεωργίου J-GANNO ΓΕΝΙΚΕΥΜΕΝΟ ΠΑΚΕΤΟ ΥΛΟΠΟΙΗΣΗΣ ΤΕΧΝΗΤΩΝ ΝΕΥΡΩΝΙΚΩΝ ΙΚΤΥΩΝ ΣΤΗ ΓΛΩΣΣΑ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ JAVA Σύντοµη αναφορά στους κύριους στόχους σχεδίασης και τα βασικά χαρακτηριστικά του πακέτου (προέκδοση 0.9Β,

Διαβάστε περισσότερα

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Για βελτίωση της απόδοσης

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα

Αρχιτεκτονικές Υπολογιστών

Αρχιτεκτονικές Υπολογιστών ΑΡΧΙΤΕΚΤΟΝΙΚΕΣ ΥΠΟΛΟΓΙΣΤΩΝ Μάθηµα: Αρχιτεκτονικές Υπολογιστών Βασικά Συστατικά ενός Υπολογιστικού Συστήµατος ( οµή Οργάνωση) ιδάσκων:αναπλ.καθ. Κ. Λαµπρινουδάκης clam@unipi.gr Αρχιτεκτονικές Υπολογιστών

Διαβάστε περισσότερα

Ιεραρχία Μνήμης. Εικονική μνήμη (virtual memory) Επεκτείνοντας την Ιεραρχία Μνήμης. Εικονική Μνήμη. Μ.Στεφανιδάκης

Ιεραρχία Μνήμης. Εικονική μνήμη (virtual memory) Επεκτείνοντας την Ιεραρχία Μνήμης. Εικονική Μνήμη. Μ.Στεφανιδάκης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής ρχιτεκτονική Υπολογιστών 2016-17 Εικονική Μνήμη (και ο ρόλος της στην ιεραρχία μνήμης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Δευτερεύουσα μνήμη

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Ιεραρχία συχνά και το

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. 1.1. Υλικό και Λογισμικό.. 1 1.2 Αρχιτεκτονική Υπολογιστών.. 3 1.3 Δομή, Οργάνωση και Λειτουργία Υπολογιστών 6

ΠΕΡΙΕΧΟΜΕΝΑ. 1.1. Υλικό και Λογισμικό.. 1 1.2 Αρχιτεκτονική Υπολογιστών.. 3 1.3 Δομή, Οργάνωση και Λειτουργία Υπολογιστών 6 ΠΕΡΙΕΧΟΜΕΝΑ 1. Εισαγωγή στην Δομή, Οργάνωση, Λειτουργία και Αξιολόγηση Υπολογιστών 1.1. Υλικό και Λογισμικό.. 1 1.2 Αρχιτεκτονική Υπολογιστών.. 3 1.3 Δομή, Οργάνωση και Λειτουργία Υπολογιστών 6 1.3.1 Δομή

Διαβάστε περισσότερα

Ενότητα 4. Εισαγωγή στην Πληροφορική. Αναπαράσταση δεδοµένων. Αναπαράσταση πληροφορίας. υαδικοί αριθµοί. Χειµερινό Εξάµηνο 2006-07

Ενότητα 4. Εισαγωγή στην Πληροφορική. Αναπαράσταση δεδοµένων. Αναπαράσταση πληροφορίας. υαδικοί αριθµοί. Χειµερινό Εξάµηνο 2006-07 Ενότητα 4 Εισαγωγή στην Πληροφορική Κεφάλαιο 4Α: Αναπαράσταση πληροφορίας Κεφάλαιο 4Β: Επεξεργαστές που χρησιµοποιούνται σε PCs Χειµερινό Εξάµηνο 2006-07 ρ. Παναγιώτης Χατζηδούκας (Π..407/80) Εισαγωγή

Διαβάστε περισσότερα

Διασυνδετικοί Δίαυλοι. Τι διασυνδέει ένας δίαυλος; Μεταφορά δεδομένων. Διασύνδεση Εισόδου-Εξόδου. Μ.Στεφανιδάκης

Διασυνδετικοί Δίαυλοι. Τι διασυνδέει ένας δίαυλος; Μεταφορά δεδομένων. Διασύνδεση Εισόδου-Εξόδου. Μ.Στεφανιδάκης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2014-15 Διασύνδεση Εισόδου-Εξόδου (συσκευές και ) http://www.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης Διασυνδετικοί : Αναλαμβάνουν την

Διαβάστε περισσότερα

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ Η Κεντρική Μονάδα Επεξεργασίας (Central Processing Unit -CPU) ή απλούστερα επεξεργαστής αποτελεί το μέρος του υλικού που εκτελεί τις εντολές ενός προγράμματος υπολογιστή

Διαβάστε περισσότερα

Βασικές συσκευές Ε/Ε. Είσοδος Έξοδος στον υπολογιστή. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (IΙI) Μ.

Βασικές συσκευές Ε/Ε. Είσοδος Έξοδος στον υπολογιστή. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (IΙI) Μ. Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2016-17 Οργάνωση Υπολογιστών (IΙI) (συσκευές εισόδου-εξόδου) http://mixstef.github.io/courses/csintro/ Μ.Στεφανιδάκης Ένα τυπικό

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 2. ΑΡΙΘΜΗΤΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΜΕΡΟΣ Α 2 Τεχνολογία

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Εικονική Μνήμη. (και ο ρόλος της στην ιεραρχία μνήμης)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Εικονική Μνήμη. (και ο ρόλος της στην ιεραρχία μνήμης) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2011-12 Εικονική (και ο ρόλος της στην ιεραρχία μνήμης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης Ιεραρχία η νέα τάση: [2011]

Διαβάστε περισσότερα

Περιεχόµενα. Πληροφοριακά Συστήµατα: Κατηγορίες και Κύκλος Ζωής. Π.Σ. ιαχείρισης Πράξεων. Π.Σ. ιοίκησης. Κατηγορίες Π.Σ. Ο κύκλος ζωής Π.Σ.

Περιεχόµενα. Πληροφοριακά Συστήµατα: Κατηγορίες και Κύκλος Ζωής. Π.Σ. ιαχείρισης Πράξεων. Π.Σ. ιοίκησης. Κατηγορίες Π.Σ. Ο κύκλος ζωής Π.Σ. Πληροφοριακά Συστήµατα: Κατηγορίες και Κύκλος Ζωής Περιεχόµενα Κατηγορίες Π.Σ. ιαχείρισης Πράξεων ιοίκησης Υποστήριξης Αποφάσεων Έµπειρα Συστήµατα Ατόµων και Οµάδων Ο κύκλος ζωής Π.Σ. Ορισµός Φάσεις Χρήστες

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua.gr ΠΡΟΗΓΜΕΝΑ ΘΕΜΑΤΑ

Διαβάστε περισσότερα

Κεφάλαιο Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων.

Κεφάλαιο Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων. Α Γενικού Λυκείου Κεφάλαιο 1 1.1 Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων. Ιδιαίτερη θέση έχουν οι Υπολογιστικές συσκευές. Μηχανισμός

Διαβάστε περισσότερα

Α. Ερωτήσεις Ανάπτυξης

Α. Ερωτήσεις Ανάπτυξης οµηµένος Προγραµµατισµός-Κεφάλαιο 7 Σελίδα 1 α ό 10 ΕΝΟΤΗΤΑ ΙΙΙ (ΠΡΟΓΡΑΜΜΑΤΑ) ΚΕΦΑΛΑΙΟ 7: Είδη, Τεχνικές και Περιβάλλοντα Προγραµµατισµού Α. Ερωτήσεις Ανάπτυξης 1. Τι ονοµάζουµε γλώσσα προγραµµατισµού;

Διαβάστε περισσότερα

Ανάλυση Απαιτήσεων Απαιτήσεις Λογισµικού

Ανάλυση Απαιτήσεων Απαιτήσεις Λογισµικού ΧΑΡΟΚΟΠΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΜΑΤΙΚΗΣ Ανάλυση Απαιτήσεων Απαιτήσεις Λογισµικού Μάρα Νικολαϊδου Δραστηριότητες Διαδικασιών Παραγωγής Λογισµικού Καθορισµός απαιτήσεων και εξαγωγή προδιαγραφών

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ II. χειμερινό εξάμηνο & εαρινό εξάμηνο (σε κίτρινο υπόβαθρο)

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ II. χειμερινό εξάμηνο & εαρινό εξάμηνο (σε κίτρινο υπόβαθρο) I χειμερινό εξάμηνο & ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ II εαρινό εξάμηνο (σε κίτρινο υπόβαθρο). Νικολός Καθηγητής ΚΕΦΑΛΑΙΟ 1 Εισαγωγή στην ομή, Οργάνωση, Λειτουργία και Αξιολόγηση Υπολογιστών Ενότητα 1.1 Υλικό

Διαβάστε περισσότερα

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI)

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 015-16 Οργάνωση Υπολογιστών (ΙI) (κύρια και ) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Ένα τυπικό υπολογιστικό

Διαβάστε περισσότερα

Κεφάλαιο 4. Διδακτικοί Στόχοι. Για την αναγκαιότητα, τον τρόπο συνεργασίας, τις δυνατότητες και τον τρόπο εγκατάστασης των περιφερειακών συσκευών.

Κεφάλαιο 4. Διδακτικοί Στόχοι. Για την αναγκαιότητα, τον τρόπο συνεργασίας, τις δυνατότητες και τον τρόπο εγκατάστασης των περιφερειακών συσκευών. Κεφάλαιο 4 Ένα υπολογιστικό σύστημα εκτός από την ΚΜΕ και την κύρια μνήμη που χρησιμοποιούνται για την επεξεργασία και προσωρινή αποθήκευση δεδομένων βασίζεται στις περιφερειακές συσκευές για την επικοινωνία

Διαβάστε περισσότερα

Στοιχεία αρχιτεκτονικής μικροεπεξεργαστή

Στοιχεία αρχιτεκτονικής μικροεπεξεργαστή Στοιχεία αρχιτεκτονικής μικροεπεξεργαστή Αριθμός bit δίαυλου δεδομένων (Data Bus) Αριθμός bit δίαυλου διευθύνσεων (Address Bus) Μέγιστη συχνότητα λειτουργίας (Clock Frequency) Τύποι εντολών Αριθμητική

Διαβάστε περισσότερα

Λειτουργικά Συστήματα (Λ/Σ)

Λειτουργικά Συστήματα (Λ/Σ) Λειτουργικά Συστήματα (Λ/Σ) Διαχείριση Μνήμης Βασίλης Σακκάς 6/12/2013 1 Διαχείριση Μνήμης 1 Το τμήμα του Λ/Σ που διαχειρίζεται τη μνήμη λέγεται Διαχειριστής Μνήμης (Memory manager). Καθήκον του είναι

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ. Α Γενικού Λυκείου (Μάθημα Επιλογής)

ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ. Α Γενικού Λυκείου (Μάθημα Επιλογής) ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ Α Γενικού Λυκείου (Μάθημα Επιλογής) Σύγχρονα Υπολογιστικά Συστήματα τους υπερυπολογιστές (supercomputers) που χρησιμοποιούν ερευνητικά εργαστήρια τα μεγάλα συστήματα (mainframes)

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών (IΙI)

Οργάνωση Υπολογιστών (IΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2016-17 Οργάνωση Υπολογιστών (IΙI) (συσκευές εισόδου-εξόδου) http://mixstef.github.io/courses/csintro/ Μ.Στεφανιδάκης Ένα τυπικό

Διαβάστε περισσότερα

Αρχιτεκτονική Μνήµης

Αρχιτεκτονική Μνήµης ΕΣ 08 Επεξεργαστές Ψηφιακών Σηµάτων Αρχιτεκτονική Μνήµης Τµήµα Επιστήµη και Τεχνολογίας Τηλεπικοινωνιών Πανεπιστήµιο Πελοποννήσου Βιβλιογραφία Ενότητας Kuo [2005]: Chapters 3 & 4 Lapsley [2002]: Chapter

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών

Πανεπιστήμιο Θεσσαλίας Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Πανεπιστήμιο Θεσσαλίας Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Αρχιτεκτονική Υπολογιστών Άσκηση 6: Ασκήσεις Εξαμήνου Μέρος Β Νοέμβριος 2016 Στην άσκηση αυτή θα μελετήσουμε την εκτέλεση ενός

Διαβάστε περισσότερα

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy)

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) 1 Συστήματα Μνήμης Η οργάνωση του συστήματος μνήμης επηρεάζει τη λειτουργία και απόδοση ενός μικροεπεξεργαστή: Διαχείριση μνήμης και περιφερειακών (Ι/Ο) απότολειτουργικόσύστημα

Διαβάστε περισσότερα

Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών

Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών Η επεξεργασία των δεδομένων ακολουθεί μια στερεότυπη διαδρομή: τα δεδομένα εισάγονται στο υπολογιστικό σύστημα, υφίστανται μια ορισμένη επεξεργασία και

Διαβάστε περισσότερα

Περίληψη ιπλωµατικής Εργασίας

Περίληψη ιπλωµατικής Εργασίας Περίληψη ιπλωµατικής Εργασίας Θέµα: Εναλλακτικές Τεχνικές Εντοπισµού Θέσης Όνοµα: Κατερίνα Σπόντου Επιβλέπων: Ιωάννης Βασιλείου Συν-επιβλέπων: Σπύρος Αθανασίου 1. Αντικείµενο της διπλωµατικής Ο εντοπισµός

Διαβάστε περισσότερα

Μικροεπεξεργαστές - Μικροελεγκτές Ψηφιακά Συστήματα

Μικροεπεξεργαστές - Μικροελεγκτές Ψηφιακά Συστήματα Μικροεπεξεργαστές - Μικροελεγκτές Ψηφιακά Συστήματα 1. Ποια είναι η σχέση της έννοιας του μικροεπεξεργαστή με αυτή του μικροελεγκτή; Α. Ο μικροεπεξεργαστής εμπεριέχει τουλάχιστο έναν μικροελεγκτή. Β. Ο

Διαβάστε περισσότερα

Αρχιτεκτονική Η/Υ Το chipset ενός υπολογιστικού συστήματος. Δρ. Μηνάς Δασυγένης

Αρχιτεκτονική Η/Υ Το chipset ενός υπολογιστικού συστήματος. Δρ. Μηνάς Δασυγένης Αρχιτεκτονική Η/Υ Το chipset ενός υπολογιστικού συστήματος Δρ. Μηνάς Δασυγένης mdasyg@ieee.org 1 1 Οι εταιρείες παραγωγής IC διαφημίζουν εκτός από τους επεξεργαστές και τα chipset τους 2 2 Τι είναι όμως

Διαβάστε περισσότερα

Διαδικασίες παραγωγής λογισμικού. Βασικές αρχές Τεχνολογίας Λογισμικού, 8η αγγ. έκδοση

Διαδικασίες παραγωγής λογισμικού. Βασικές αρχές Τεχνολογίας Λογισμικού, 8η αγγ. έκδοση Διαδικασίες παραγωγής λογισμικού Περιεχόμενα Παρουσίαση μοντέλων διεργασίας ανάπτυξης λογισμικού Περιγραφή τριών γενικών μοντέλων διεργασίας ανάπτυξης λογισμικού Γενική περιγραφή των διαδικασιών που περιλαμβάνονται

Διαβάστε περισσότερα

Διασύνδεση Εισόδου-Εξόδου

Διασύνδεση Εισόδου-Εξόδου Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Διασύνδεση Εισόδου-Εξόδου (συσκευές και ) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Διασυνδετικοί : Αναλαμβάνουν

Διαβάστε περισσότερα

Πολυπύρηνοι επεξεργαστές Multicore processors

Πολυπύρηνοι επεξεργαστές Multicore processors Πολυπύρηνοι επεξεργαστές Multicore processors 1 Μετάβαση στους πολυπύρηνους(1) Απόδοση των µονοεπεξεργαστών 25% ετήσια βελτίωση της απόδοσης από το 1978 έως το 1986 Κυρίως από την εξέλιξη της τεχνολογίας

Διαβάστε περισσότερα

Τμήμα Λογιστικής. Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. Μάθημα 8. 1 Στέργιος Παλαμάς

Τμήμα Λογιστικής. Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. Μάθημα 8. 1 Στέργιος Παλαμάς ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Τμήμα Λογιστικής Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές Μάθημα 8 Κεντρική Μονάδα Επεξεργασίας και Μνήμη 1 Αρχιτεκτονική του Ηλεκτρονικού Υπολογιστή Μονάδες Εισόδου Κεντρική

Διαβάστε περισσότερα

3. Σελιδοποίηση μνήμης 4. Τμηματοποίηση χώρου διευθύνσεων

3. Σελιδοποίηση μνήμης 4. Τμηματοποίηση χώρου διευθύνσεων ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ MHXANIKOI Η/Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΙΠΕ Ο ΜΗΧΑΝΗΣ ΛΕΙΤΟΥΡΓΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ Γ. Τσιατούχας 6 ο Κεφάλαιο 1. Επίπεδο OSM 2. Εικονική μνήμη ιάρθρωση 3. Σελιδοποίηση μνήμης 4. Τμηματοποίηση

Διαβάστε περισσότερα

Εισαγωγή. Κατανεµηµένα Συστήµατα 01-1

Εισαγωγή. Κατανεµηµένα Συστήµατα 01-1 Εισαγωγή Υλισµικό Λογισµικό Αρχές σχεδίασης ιαφάνεια Κλιµάκωση Παρεχόµενες υπηρεσίες Μοντέλο πελάτη εξυπηρετητή Μοντέλο πελάτη εξυπηρετητή τριών επιπέδων Κατανοµή επεξεργασίας Κατανεµηµένα Συστήµατα 01-1

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

Αρχιτεκτονική Επεξεργαστών Ψ.Ε.Σ

Αρχιτεκτονική Επεξεργαστών Ψ.Ε.Σ ΕΣ 08 Επεξεργαστές Ψηφιακών Σηµάτων Αρχιτεκτονική Επεξεργαστών Ψ.Ε.Σ Βιβλιογραφία Ενότητας Kehtarnavaz [2005]: Chapter 3 Kuo [2005]: Chapters 1 & 4-5 Lapsley [2002]: Chapter 4 Hayes [2000]: Κεφάλαιo 8

Διαβάστε περισσότερα

Είναι το «μυαλό» του υπολογιστή μας. Αυτός κάνει όλους τους υπολογισμούς και τις πράξεις. Έχει δική του ενσωματωμένη μνήμη, τη λεγόμενη κρυφή

Είναι το «μυαλό» του υπολογιστή μας. Αυτός κάνει όλους τους υπολογισμούς και τις πράξεις. Έχει δική του ενσωματωμένη μνήμη, τη λεγόμενη κρυφή 1 Είναι το «μυαλό» του υπολογιστή μας. Αυτός κάνει όλους τους υπολογισμούς και τις πράξεις. Έχει δική του ενσωματωμένη μνήμη, τη λεγόμενη κρυφή μνήμη(cache). Η cache είναι πολύ σημαντική, πολύ γρήγορη,

Διαβάστε περισσότερα

Εισαγωγή στα Συστήματα Ψηφιακής Επεξεργασίας Σήματος

Εισαγωγή στα Συστήματα Ψηφιακής Επεξεργασίας Σήματος ΕΣ 08 Επεξεργαστές Ψηφιακών Σημάτων Εισαγωγή στα Συστήματα Ψηφιακής Επεξεργασίας Σήματος Κλήμης Νταλιάνης Λέκτορας Π.Δ.407/80 Τμήμα Επιστήμη και Τεχνολογίας Τηλεπικοινωνιών Πανεπιστήμιο Πελοποννήσου Αρχιτεκτονική

Διαβάστε περισσότερα

ΡΟΜΠΟΤΙΚΗ. ΕΡΓΑΣΙΑ ΠΑΝΩ ΣΤΗΝ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΝΧΤ ΚΑΙ ΤΑ ΠΡΩΤΟΚΟΛΛΑ ΕΠΙΚΟΙΝΩΝΙΑΣ BLUETOOTH, I2C και serial communication

ΡΟΜΠΟΤΙΚΗ. ΕΡΓΑΣΙΑ ΠΑΝΩ ΣΤΗΝ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΝΧΤ ΚΑΙ ΤΑ ΠΡΩΤΟΚΟΛΛΑ ΕΠΙΚΟΙΝΩΝΙΑΣ BLUETOOTH, I2C και serial communication ΡΟΜΠΟΤΙΚΗ ΕΡΓΑΣΙΑ ΠΑΝΩ ΣΤΗΝ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΝΧΤ ΚΑΙ ΤΑ ΠΡΩΤΟΚΟΛΛΑ ΕΠΙΚΟΙΝΩΝΙΑΣ BLUETOOTH, I2C και serial communication ΜΠΑΝΤΗΣ ΑΝΤΩΝΙΟΣ 533 ΤΣΙΚΤΣΙΡΗΣ ΔΗΜΗΤΡΙΟΣ 551 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΤΟΥ ΡΟΜΠΟΤ LEGO NXT Το ρομπότ

Διαβάστε περισσότερα

Η ιεραρχία της μνήμης

Η ιεραρχία της μνήμης Η ιεραρχία της μνήμης Οι περιορισμοί στο σχεδιασμό της μνήμης συνοψίζονται σε τρεις ερωτήσεις : 1) Πόση 2) Πόσο γρήγορη 3) Πόσο ακριβή Ερωτήματα-Απαντήσεις Ερώτημα πόση μνήμη. Είναι ανοικτό. Αν υπάρχει

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Γιώργος Δημητρίου Ενότητα 1 η : Βασικές Αρχές Αρχιτεκτονικής Η/Υ Σύγχρονοι Μικροεπεξεργαστές Intel 6-core i7 (Gulftown) 2010, >1 billion transistors Απόδοση Μικροεπεξεργαστών V Μετρήσεις με μετροπρογράμματα

Διαβάστε περισσότερα

Τεχνολογία Δικτύων Επικοινωνιών (Ενότητα Πρωτόκολλα και Αρχιτεκτονική Δικτύου)

Τεχνολογία Δικτύων Επικοινωνιών (Ενότητα Πρωτόκολλα και Αρχιτεκτονική Δικτύου) Τεχνολογία Δικτύων Επικοινωνιών (Ενότητα 1.7 - Πρωτόκολλα και Αρχιτεκτονική Δικτύου) Πρωτόκολλο είναι ένα σύνολο κανόνων που πρέπει να ακολουθήσουν όλοι οι σταθμοί εργασίας σε ένα δίκτυο ώστε να μπορούν

Διαβάστε περισσότερα

MPSoCs για εφαρμογές Video

MPSoCs για εφαρμογές Video MPSoCs για εφαρμογές Video Αρχιτεκτονικές επεξεργασίας video SIMD (single instruction multiple data). Βασίζονται στον παραλληλισμό των δεδομένων. Χαρακτηρίζονται από πολλαπλά datapaths τα οποία εκτελούν

Διαβάστε περισσότερα

Φόρμα Σχεδιασμού Διάλεξης (ημ/α:15/10/07, έκδοση:0.1 ) 1. Κωδικός Μαθήματος : 2. Α/Α Διάλεξης : 1 1. Τίτλος : 1. Εισαγωγή στην Αρχιτεκτονική Η/Υ

Φόρμα Σχεδιασμού Διάλεξης (ημ/α:15/10/07, έκδοση:0.1 ) 1. Κωδικός Μαθήματος : 2. Α/Α Διάλεξης : 1 1. Τίτλος : 1. Εισαγωγή στην Αρχιτεκτονική Η/Υ 2. Α/Α Διάλεξης : 1 1. Τίτλος : 1. Εισαγωγή στην Αρχιτεκτονική Η/Υ 2. Μαθησιακοί Στόχοι : Οι θεμελιώδεις αρχές λειτουργίας των υπολογιστών. Τύποι υπολογιστικών συστημάτων και στόχοι της αρχιτεκτονικής

Διαβάστε περισσότερα

Στρατηγικό Σχεδιασµό Πληροφοριακών Συστηµάτων

Στρατηγικό Σχεδιασµό Πληροφοριακών Συστηµάτων Μέθοδοι και Τεχνικές για τον Στρατηγικό Σχεδιασµό Πληροφοριακών Συστηµάτων (SISP) Στρατηγική και Διοίκηση Πληροφοριακών Συστηµάτων Μάθηµα 2 No 1 Δοµή της Παρουσίασης l 1. Εισαγωγή l 2. Μεθοδολογία SISP

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Βασικές Πηγές: Αρχιτεκτονική Υπολογιστών Εισαγωγή Αρχιτεκτονική Υπολογιστών: μια Δομημένη Προσέγγιση, Α. Tanenbaum, Vrije Universiteit, Amsterdam. Computer Architecture and Engineering, K. Asanovic, CS1/2-52,

Διαβάστε περισσότερα

ΕΠΙΧΕΙΡΗΣΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΚΡΗΤΗΣ 2000-2006

ΕΠΙΧΕΙΡΗΣΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΚΡΗΤΗΣ 2000-2006 ΕΠΙΧΕΙΡΗΣΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΚΡΗΤΗΣ 2000-2006 ΜΕΤΡΟ 1.2 Κοινοπραξίες Έρευνας και Τεχνολογικής Ανάπτυξης σε τομείς Εθνικής Προτεραιότητας Παροχή υπηρεσιών τουριστικού και αρχαιολογικού ενδιαφέροντος μέσω πλατφόρμας

Διαβάστε περισσότερα

Κεφάλαιο 4: Λογισμικό Συστήματος

Κεφάλαιο 4: Λογισμικό Συστήματος Κεφάλαιο 4: Λογισμικό Συστήματος Ερωτήσεις 1. Να αναφέρετε συνοπτικά τις κατηγορίες στις οποίες διακρίνεται το λογισμικό συστήματος. Σε ποια ευρύτερη κατηγορία εντάσσεται αυτό; Το λογισμικό συστήματος

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 13: (Μέρος Α ) Ενσωματωμένα Συστήματα Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής

Διαβάστε περισσότερα

Chapter 4 (1) Αξιολόγηση και κατανόηση της απόδοσης

Chapter 4 (1) Αξιολόγηση και κατανόηση της απόδοσης Chapter 4 (1) Αξιολόγηση και κατανόηση της απόδοσης Διαφάνειες διδασκαλίας από το πρωτότυπο αγγλικό βιβλίο (4 η έκδοση), μετάφραση: Καθ. Εφαρμογών Νικόλαος Πετράκης, Τμήματος Ηλεκτρονικών Μηχανικών του

Διαβάστε περισσότερα

Εξωτερική Αναζήτηση. Ιεραρχία Μνήμης Υπολογιστή. Εξωτερική Μνήμη. Εσωτερική Μνήμη. Κρυφή Μνήμη (Cache) Καταχωρητές (Registers) μεγαλύτερη ταχύτητα

Εξωτερική Αναζήτηση. Ιεραρχία Μνήμης Υπολογιστή. Εξωτερική Μνήμη. Εσωτερική Μνήμη. Κρυφή Μνήμη (Cache) Καταχωρητές (Registers) μεγαλύτερη ταχύτητα Ιεραρχία Μνήμης Υπολογιστή Εξωτερική Μνήμη Εσωτερική Μνήμη Κρυφή Μνήμη (Cache) μεγαλύτερη χωρητικότητα Καταχωρητές (Registers) Κεντρική Μονάδα (CPU) μεγαλύτερη ταχύτητα Πολλές σημαντικές εφαρμογές διαχειρίζονται

Διαβάστε περισσότερα

ΒΑΣΙΚΕΣ ΠΛΗΡΟΦΟΡΙΕΣ. Τίτλος Μαθήματος. Διαλέξεις - Θεωρητική Διδασκαλία, Εποπτευόμενο Εργαστήριο Επίδειξη, Μελέτες (Projects)

ΒΑΣΙΚΕΣ ΠΛΗΡΟΦΟΡΙΕΣ. Τίτλος Μαθήματος. Διαλέξεις - Θεωρητική Διδασκαλία, Εποπτευόμενο Εργαστήριο Επίδειξη, Μελέτες (Projects) ΒΑΣΙΚΕΣ ΠΛΗΡΟΦΟΡΙΕΣ Τίτλος Μαθήματος Μικροελεγκτές και Ενσωματωμένα συστήματα Ανάπτυξη και Εφαρμογές Κωδικός Μαθήματος Μ2 Θεωρία / Εργαστήριο Θεωρία + Εργαστήριο Πιστωτικές μονάδες 4 Ώρες Διδασκαλίας 2Θ+1Ε

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ ΕΘΝΙΚΟΝ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟΝ ΠΑΝΕΠΙΣΤΗΜΙΟΝ ΑΘΗΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΠΠΣ Πληροφορικής και Τηλεπικοινωνιών, E Εξάμηνο

Διαβάστε περισσότερα

Κεφάλαιο 4 ο. Ο Προσωπικός Υπολογιστής

Κεφάλαιο 4 ο. Ο Προσωπικός Υπολογιστής Κεφάλαιο 4 ο Ο Προσωπικός Υπολογιστής Μάθημα 4.3 Ο Επεξεργαστής - Εισαγωγή - Συχνότητα λειτουργίας - Εύρος διαδρόμου δεδομένων - Εύρος διαδρόμου διευθύνσεων - Εύρος καταχωρητών Όταν ολοκληρώσεις το μάθημα

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών (ΙI)

Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2016-17 Οργάνωση Υπολογιστών (ΙI) (κύρια και κρυφή μνήμη) http://mixstef.github.io/courses/csintro/ Μ.Στεφανιδάκης Ένα τυπικό

Διαβάστε περισσότερα

Περιεχόµενα. Μέρος I Βασικά στοιχεία των Microsoft Windows XP Professional. Ευχαριστίες... 17 Εισαγωγή... 19

Περιεχόµενα. Μέρος I Βασικά στοιχεία των Microsoft Windows XP Professional. Ευχαριστίες... 17 Εισαγωγή... 19 Περιεχόµενα Ευχαριστίες... 17 Εισαγωγή... 19 Μέρος I Βασικά στοιχεία των Microsoft Windows XP Professional 1 Εισαγωγή στη διαχείριση των Microsoft Windows XP Professional... 25 Ξεκίνηµα µε τα Windows XP

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua.gr ΠΡΟΗΓΜΕΝΑ ΘΕΜΑΤΑ

Διαβάστε περισσότερα

Εντολές γλώσσας μηχανής

Εντολές γλώσσας μηχανής Εντολές γλώσσας μηχανής Στον υπολογιστή MIPS η εντολή πρόσθεσε τα περιεχόμενα των καταχωρητών 17 και 20 και τοποθέτησε το αποτέλεσμα στον καταχωρητή 9 έχει την μορφή: 00000010001101000100100000100000 Πεδία

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 13: (Μέρος Β ) Λειτουργικό Σύστημα Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής

Διαβάστε περισσότερα

Εφαρµογές Πληροφορικής Υπολογιστών. Κεφάλαιο 3 Το υλικό του υπολογιστή

Εφαρµογές Πληροφορικής Υπολογιστών. Κεφάλαιο 3 Το υλικό του υπολογιστή Κεφάλαιο 3 Το υλικό του υπολογιστή Εισαγωγή Τµήµατα του Η/Υ καιοργάνωση Μονάδα Κεντρικής Μνήµης Κεντρική Μονάδα Επεξεργασίας (CPU) Μονάδα Εισόδου Εξόδου ίαυλοι Επικοινωνίας Εναλλακτικές αρχιτεκτονικές

Διαβάστε περισσότερα

Αρχιτεκτονικές Συνόλου Εντολών (ΙΙ)

Αρχιτεκτονικές Συνόλου Εντολών (ΙΙ) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Αρχιτεκτονικές Συνόλου Εντολών (ΙΙ) (Δομή Εντολών και Παραδείγματα) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Αρχιτεκτονική

Διαβάστε περισσότερα

Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου. Ενδέκατη (11 η ) δίωρη διάλεξη.

Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου. Ενδέκατη (11 η ) δίωρη διάλεξη. Chapter 5 Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου Ενδέκατη (11 η ) δίωρη διάλεξη. Διαφάνειες διδασκαλίας από το πρωτότυπο αγγλικό βιβλίο (4 η έκδοση), μετάφραση: Καθ. Εφαρμογών Νικόλαος Πετράκης,

Διαβάστε περισσότερα

Περιεχόµενα. I Βασικές Γνώσεις 1

Περιεχόµενα. I Βασικές Γνώσεις 1 Περιεχόµενα I Βασικές Γνώσεις 1 1 Μοντελοποίηση Προγραµµάτων 3 1.1 Ψευδογλώσσα....................... 6 1.2 Διαγράµµατα Ροής..................... 6 1.3 Παραδείγµατα σε Ψευδογλώσσα και Διαγράµµατα Ροής.

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΑΝΑΦΟΡΑΣ: ΕΦΑΡΜΟΓΗ ΚΑΙ ΑΠΟΤΕΛΕΣΜΑΤΑ ΣΕ ΕΠΙΛΕΓΜΕΝΕΣ ΠΕΡΙΤΠΩΣΕΙΣ

ΤΙΤΛΟΣ ΑΝΑΦΟΡΑΣ: ΕΦΑΡΜΟΓΗ ΚΑΙ ΑΠΟΤΕΛΕΣΜΑΤΑ ΣΕ ΕΠΙΛΕΓΜΕΝΕΣ ΠΕΡΙΤΠΩΣΕΙΣ ΤΙΤΛΟΣ ΑΝΑΦΟΡΑΣ: ΕΦΑΡΜΟΓΗ ΚΑΙ ΑΠΟΤΕΛΕΣΜΑΤΑ ΣΕ ΕΠΙΛΕΓΜΕΝΕΣ ΠΕΡΙΤΠΩΣΕΙΣ ΚΩΔΙΚΟΣ ΠΑΡΑΔΟΤΕΟΥ: Π18 ΑΡΙΘΜΟΣ ΠΡΩΤΟΚΟΛΛΟΥ ΈΡΓΟΥ: ΤΠΕ/ΟΡΖΙΟ/0308(ΒΕ)/03 ΤΙΤΛΟΣ ΕΡΓΟΥ: ΓΕΝΙΚΕΥΜΕΝΟ ΣΥΣΤΗΜΑ ΑΣΑΦΟΥΣ ΓΝΩΣΤΙΚΟΥ ΧΑΡΤΗ

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 12: Δίαυλοι. Ταξινόμηση. Απόδοση διαύλων. ISA, PCI, PCI-express Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών

Διαβάστε περισσότερα

Παραλληλισμός σε επίπεδο εντολών

Παραλληλισμός σε επίπεδο εντολών Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Παραλληλισμός σε επίπεδο εντολών (Pipelining και άλλες τεχνικές αύξησης απόδοσης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης

Διαβάστε περισσότερα

Μεθοδολογίες Παραγωγής Λογισµικού

Μεθοδολογίες Παραγωγής Λογισµικού Μεθοδολογίες Παραγωγής Λογισµικού Βασικά Γενικά Μοντέλα Μοντέλο καταρράκτη (waterfall model) Ξεχωριστές φάσεις καθορισµού απαιτήσεων και ανάπτυξης, επικύρωσης, εξέλιξης Εξελικτική ανάπτυξη (evolutionary

Διαβάστε περισσότερα

Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ταχύτητα εκτέλεσης Χρόνος εκτέλεσης = (αριθμός εντολών που εκτελούνται) Τί έχει σημασία: Χ (χρόνος εκτέλεσης εντολής) Αριθμός

Διαβάστε περισσότερα

1 Συστήματα Αυτοματισμού Βιβλιοθηκών

1 Συστήματα Αυτοματισμού Βιβλιοθηκών 1 Συστήματα Αυτοματισμού Βιβλιοθηκών Τα Συστήματα Αυτοματισμού Βιβλιοθηκών χρησιμοποιούνται για τη διαχείριση καταχωρήσεων βιβλιοθηκών. Τα περιεχόμενα των βιβλιοθηκών αυτών είναι έντυπα έγγραφα, όπως βιβλία

Διαβάστε περισσότερα

ΔΙΑΣΥΝΔΕΣΗ ΔΙΚΤΥΩΝ (INTERNETWORKING)

ΔΙΑΣΥΝΔΕΣΗ ΔΙΚΤΥΩΝ (INTERNETWORKING) ΔΙΑΣΥΝΔΕΣΗ ΔΙΚΤΥΩΝ (INTERNETWORKING) Α. Α. Οικονομίδης Πανεπιστήμιο Μακεδονίας Διασυνδεδεμένο δίκτυο διασύνδεση δικτύων που το καθένα διατηρεί την ταυτότητά του χρησιμοποιώντας ειδικούς μηχανισμούς διασύνδεσης

Διαβάστε περισσότερα