ΛΟΓΙΚH ΣΧΕΔΙΑΣH ΙΙ. Καλώς ήλθατε

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΛΟΓΙΚH ΣΧΕΔΙΑΣH ΙΙ. Καλώς ήλθατε"

Transcript

1 ΛΟΓΙΚH ΣΧΕΔΙΑΣH ΙΙ Καλώς ήλθατε

2 Ωρολόγιο Πρόγραμμα Τα τυπικά (1/2) (2 ώρες παραδόσεις 1 ώρα φροντιστήριο) x 13 Πέμπτη 16:00 19:00, ΒΑ Στην αρχή μόνο παραδόσεις Τελική εξέταση : Γραπτώς, με ανοικτές σημειώσεις Θα περιλαμβάνει Verilog για ακολουθιακά κυκλώματα Προαιρετικές ασκήσεις : Σε ομάδες των 3 ατόμων Ανακοινώνονται ανά 3 εβδομάδες και υποβάλλονται ηλεκτρονικά έως την επόμενη

3 Τα τυπικά (2/2) Ιστοσελίδα του μαθήματος : (Διαφάνειες, εκφωνήσεις, θέματα) Ερωτήσεις Απορίες : Ε-mail : vergos@ieee.org vergos@ceid.upatras.gr Ωρες γραφείου : κάθε πρωί

4 Bιβλιογραφία & Υλη M. Morris Mano, Digital Design, 5 th edition!!! Εξεταζόμενη ύλη : κεφάλαια 1-8 (όχι ΑSM), 9 (αν παραδοθεί) J. F. Wakerly, Digital Design : Principles and Practices, 3 rd edition Όλο το βιβλίο πλην του κεφαλαίου 3, ABEL & VHDL Recommended : J. F. Wakerly, Digital Design : Principles and Practices, 4 th edition

5 Λογική Σχεδίαση Ι με μια ματιά Συνδυαστικά κυκλώματα => Συνδυαστικά στοιχεία => Λογικές πράξεις πάνω σε δυαδικές μεταβλητές => Δίτιμη άλγεβρα Βοοle.

6 ΛΣ ΙΙ : Γιατί??? ΑΞΙΩΜΑΤΑ : Όσο πιο "έξυπνη" είναι μια συσκευή => κόστος => κέρδος Κάθε "έξυπνη" συσκευή έχει ένα ή πολλά "πολύπλοκα" κυκλώματα Υπάρχει ολοένα & μεγαλύτερη ζήτηση σχεδιαστών "πολύπλοκων" κυκλωμάτων με ολοένα και μεγαλύτερους μισθούς Πόσους απέλυσε η Xilinx μετά το κραχ των χρηματιστηρίων το 1999? Πόσους η Microsoft? Πόσος ο μέσος μισθός του C programmer? Πόσος του test engineer? => Είναι καλό να μάθετε να σχεδιάζετε αυτά τα "πολύπλοκα" κυκλώματα.

7 Nαι, αλλά στο ΛΣ Ι έμαθα να σχεδιάζω ΜΑΘΑΤΕ ΜΟΝΟ ΤΗ ΘΕΩΡΙΑ ΓΙΑ ΝΑ ΣΧΕΔΙΑΖΕΤΕ ΜΙΚΡΑ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΡΑΓΜΑΤΙΚΟΤΗΤΑ : Κανένα χρήσιμο κύκλωμα σήμερα δεν είναι μόνο συνδυαστικό (ακολουθεί παράδειγμα) Τα σημερινά κυκλώματα για να προσδώσουν "εξυπνάδα" στο τελικό προϊόν είναι εξαιρετικά πολύπλοκα Ευτυχώς που χρειάζονται πιο "έξυπνους" σχεδιαστές και πιο "έξυπνους" τρόπους σχεδιασμού. Ίσως γι' αυτό και είναι το μόνο κομμάτι της επιστήμης μας χωρίς εξωτερικό ανταγωνισμό

8 Μη συνδυαστικό κύκλωμα? Που χρειάζεται? Στα συνδυαστικά κυκλώματα οι έξοδοι σε κάθε χρονική στιγμή εξαρτώνται αποκλειστικά και μόνο από τις εισόδους οι οποίες εφαρμόζονται την συγκεκριμένη εκείνη στιγμή. Δεν εξαρτώνται ούτε από την σειρά με την οποία αυτές οι είσοδοι εφαρμόσθηκαν, ούτε από την κατάσταση του κυκλώματος πριν αυτές εφαρμοσθούν. ΠΡΟΒΛΗΜΑ: Να σχεδιασθεί ψηφιακό σύστημα το οποίο να επιτρέπει την εκκίνηση της μηχανής του αυτοκινήτου μόνον εφόσον ο οδηγός καθίσει και δέσει την ζώνη ασφαλείας του καθίσματός του. ΛΥΣΗ ΑΔΑΟΥΣ ΣΧΕΔΙΑΣΤΗ : Μια πύλη ΑΝD από ένα sensor στη ζώνη και έναν στο κάθισμα του αυτοκινήτου

9 ΥΛΗ ΛΣ ΙΙ Στοιχεία μνήμης / Ακολουθιακά κυκλώματα Latches Flip-flops FSMs σε υλικό Verilog για ακολουθιακά κυκλώματα Συνήθη ακολουθιακά κυκλώματα : καταχωρητές, ολισθητές, μετρητές Μνήμες και προγραμματιζόμενα ολοκληρωμένα Τεχνολογίες ψηφιακών ολοκληρωμένων κυκλωμάτων Ασύγχρονα ακολουθιακά κυκλώματα

10 ΜΕΡΟΣ Ι ΑΚΟΛΟΥΘΙΑΚΑ ΣΤΟΙΧΕΙΑ

11 Συνδυαστικά vs Ακολουθιακά Συνδυαστικά : οι έξοδοι εξαρτώνται σε κάθε χρονική στιγμή αποκλειστικά και μόνο από τις εισόδους που εφαρμόζονται. Δεν εξαρτώνται ούτε από τη σειρά εφαρμογής, ούτε από τη κατάσταση του κυκλώματος πριν εφαρμοστούν. Ακολουθιακά : οι έξοδοι κάθε χρονική στιγμή εξαρτώνται όχι μόνο από τις τιμές των εισόδων εκείνη τη στιγμή αλλά και από τις τιμές των εισόδων όλες τις προηγούμενες χρονικές στιγμές. Οι τελευταίες έχουν επιβάλλει μια κατάσταση στο κύκλωμα. Η κατάσταση αποθηκεύεται σε στοιχεία με ικανότητα μνήμης. Τα στοιχεία αυτά ονομάζονται ακολουθιακά στοιχεία. Ένα κύκλωμα που περιέχει ακολουθιακά στοιχεία είναι ένα ακολουθιακό κύκλωμα. Υπάρχουν 2 μεγάλες κατηγορίες ακολουθιακών κυκλωμάτων : σύγχρονα και ασύγχρονα κυκλώματα. Στα σύγχρονα οι αλλαγές της κατάστασης γίνονται σε διακριτές στιγμές χρόνου.

12 Σύγχρονα Οι τιμές σε συγκεκριμένες (διακριτές) τιμές χρόνου το περιγράφουν πλήρως Συγχρονισμός επιτυγχάνεται μέσω ενός σήματος χρονισμού, γνωστό ως ρολόι που έχει μια περιοδική παλμοσειρά. Δε παρουσιάζουν αστάθεια. Σύγχρονα vs Ασύγχρονα Ασύγχρονα Οι τιμές εξαρτώνται από τη σειρά εναλλαγής των σημάτων εισόδου. Νέα τιμή μπορεί να προκύψει ανά πάσα χρονική στιγμή. Ένα συνδυαστικό κύκλωμα με ανατροφοδότηση (feedback) είναι ένα ασύγχρονο ακολουθιακό κύκλωμα. Αστάθεια. Θα μελετήσουμε αρχικά τα σύγχρονα κυκλώματα, μιας και τα ασύγχρονα τείνουν να εξαλειφθούν εντελώς από εμπορικούς σχεδιασμούς.

13 Γενικό μοντέλο ενός πλήρους κυκλώματος Είσοδοι Συνδυαστικά Κυκλώματα Στοιχεία Μνήμης (Ακολουθιακά) Εξοδοι

14 Ακολουθιακά στοιχεία / στοιχεία μνήμης - γενικά Εστω το σήμα χρονισμού : Υπάρχουν δύο (2) κατηγορίες : Αυτά που είναι ενεργά βάσει μιας στάθμης δυναμικού : Το στοιχείο αυτό μπορεί να αλλάζει κατάσταση διαρκώς όσο το σήμα χρονισμού βρίσκεται στην ενεργή στάθμη. Τα στοιχεία αυτής της κατηγορίας ονομάζονται μανταλωτές (latches)

15 Ακολουθιακά στοιχεία / στοιχεία μνήμης γενικά - 2 Αυτά που είναι ενεργά μόνο κατά την μία ακμή αλλαγής δυναμικού Θετική ακμοπυροδότηση Αρνητική ακμοπυροδότηση Τα στοιχεία αυτής της κατηγορίας ονομάζονται flip-flops

16 Το πρώτο κύκλωμα με μνήμη! Vcc s Y Q Gnd Gnd Vcc Gnd Το κύκλωμά μας απέκτησε μνήμη! "Θυμάται" ότι κάποτε η είσοδος πήγε στο 1. Το κύκλωμα δεν επανέρχεται!!!

17 S-R latch χωρίς χρονισμό s s Q' r Q R S Q Q' Eστω ότι κάποια χρονική στιγμή έχουμε κατορθώσει να θέσουμε Q=a και Q'=~a. Το κύκλωμα θα θυμάται αυτή τη κατάσταση επ άπειρον αν S=R=0.

18 S-R latch χωρίς χρονισμό -2 R S Q Q' Oρίζουμε τις καταστάσεις Q=1 και Q'=0 (κατάσταση θέσης) και Q=0 και Q'=1 (κατάσταση μηδενισμού) ως τις δύο χρήσιμες καταστάσεις του κυκλώματός μας. R=0 Q=1 R=0 Q=1 S=1 Q'=0 S=0 Q'=0 Mε S=1 και R=0 θέτουμε το στοιχείο. Επαναφορά σε S=R=0 διατηρεί τη κατάσταση θέσης!

19 S-R latch χωρίς χρονισμό -3 R=1 Q=0 R=0 Q=0 S=0 Q'=1 S=0 Q'=1 Mε S=0 και R=1 καθαρίζουμε (μηδενίζουμε / επαναφέρουμε) το latch. Επαναφορά σε S=R=0 διατηρεί τη κατάσταση καθαρισμού! Άρα έχουμε καταφέρει να φτιάξουμε ένα στοιχείο που μπορεί να αποθηκεύει (θυμάται) δύο διαφορετικές καταστάσεις => ένα βασικό στοιχείο δυαδικής μνήμης.

20 S-R latch χωρίς χρονισμό -4 R=1 Q=0 R=0 Q=X S=1 Q'=0 S=0 Q'=X Mε S=1 και R=1, παίρνουμε Q=Q'=0. Λόγω της μη συμπληρωματικότητας των εξόδων αυτή η κατάσταση είναι "περίεργη". Επιστρoφή στο S=R=0 θα οδηγήσει το κύκλωμά μας σε μία από τις χρήσιμες καταστάσεις!!! Σε ποια από τις δύο εξαρτάται από τη χρονική σειρά απενεργοποίησης των S, R. Η παράληλη ενεργοποίηση των S, R, πρέπει να αποφεύγεται.

21 S-R latch χωρίς χρονισμό με πύλες ΝΑΝD ~R ~S Q' Q H αρχή λειτουργίας είναι ακριβώς αντίστοιχη με αυτή του S-R latch που φτιάχνεται με πύλες NOR. Το κύκλωμα θυμάται τη προηγούμενη κατάστασή του όταν ~S=~R=1. Θέση με ~S=0 και ~R=1. Καθαρισμός με ~S=1 και ~R=0. H είσοδος ~S=~R=0 πρέπει να αποφεύγεται.

22 Πρέπει να θυμάστε (1) ~ ~ R S S R Q Q' Q Q' S R Q Q 0 0 Q Q ηρεμία (μνήμη) Reset (Q=0) Set (Q=1) απροσδιοριστία ~S ~R Q Q απροσδιοριστία Set (Q=1) Reset (Q=0) 1 1 Q Q ηρεμία (μνήμη)

23 S-R latch με είσοδο επίτρεψης S ~S C S R Q (next state) Q 0 X X Q (t-1) C (clk) R ~R Q' Q (t-1) (μηδενισμός) (θέση) Απροσδιοριστία Δύο επιπλέον NAND και την είσοδο ελέγχου C. Η είσοδος ελέγχου καθορίζει πότε οι τιμές των S και R θα περάσουν στο χωρίς χρονισμό latch

24 D latch : το πρώτο μας ακολουθιακό στοιχείο D C (clk) Q Q' C D Q (next state) 0 X Q (t-1) (μηδενισμός) (θέση) Εξαλείφουμε τη κατάσταση απροσδιοριστίας με το να μην επιτρέπουμε S, R να πάρουν ταυτόχρονα τη τιμή 1. Μπορούμε εναλλακτικά να πούμε ότι η τιμή στην είσοδο D, δειγματοληπτείται διαρκώς όσο το C είναι στο 1.

25 D latch -2 Πολλές φορές το D latch αναφέρεται και ως διαφανές (transparent). Nα θυμάστε : To D latch αντιγράφει την είσοδο στην έξοδό του όταν το C είναι σε κατάσταση επίτρεψης ΔΙΑΡΚΩΣ

26 Μεταστάθεια : το πρόβλημα >1 1->0 Q Q 0 0->1 1 Q' 1 Q' 1 1->0 Πρόβλημα : αυτή η μετάβαση καθυστερεί περισσότερο από τις άλλες 1 0 Q 1 0 Q' Κατάσταση απροσδιοριστίας!!! 1

27 Μεταστάθεια : η λύση Απαιτείται συγχρονισμός στις αλλαγές των γραμμών D και C. Oρίζουμε δύο χρονικά διαστήματα που θα πρέπει να τηρούνται ευλαβικά από κάθε σχεδιαστή ώστε να μην εμφανιστεί ποτέ το πρόβλημα της μεταστάθειας. Setup time (χρονικό διάστημα προετοιμασίας). Χρόνος που το D πρέπει να έχει σταθερή τιμή πρίν την αλλαγή του C στην κατάσταση επίτρεψης. Hold time (χρονικό διάστημα αποκατάστασης). Χρόνος που το D πρέπει να διατηρήσει την ίδια τιμή μετά την αλλαγή του C στην κατάσταση επίτρεψης.

28 Flip-flops Aντίθετα με τα latches που ενεργοποιούνται με τη στάθμη δυναμικού της εισόδου επίτρεψης (level-triggered) τα flip-flops είναι ακμοπυροδότητα (edge triggered)! Δειγματοληπτούν την είσοδό τους δηλαδή σε κάποια από τις δύο ακμές του ρολογιού. Αν χρησιμοποιούν τη θετική ακμή, ονομάζονται θετικής πυροδότησης Αν χρησιμοποιούν την αρνητική ακμή ονομάζονται αρνητικής ακμοπυροδότησης.

29 Latches vs Flip-flops Q latch Q flip-flop D clk

30 Γιατί χρειάζονται τα flip-flops? Είσοδοι Συνδυαστικά Κυκλώματα Στοιχεία Μνήμης (Ακολουθιακά) Εξοδοι O χρονισμός αυτού του μονοπατιού θα ήταν προβληματικός χωρίς flip-flops ΣΥΜΠΕΡΑΣΜΑ : η έξοδος ενός latch δε θα πρέπει ποτέ αυτούσια ή μέσω ενός συνδυαστικού κυκλώματος να συνδέεται σαν είσοδος στο ίδιο ή άλλα latches που έχουν το ίδιο ρολόι.

31 Πως φτιάχνεται ένα θετικά ακμοπυροδότητο D flip-flop? D C Q Q' D C Q Q' Δύο D latches (master and slave) D D Q D Q C Q' MASTER C Q' SLAVE CLK Mε συμπληρωματικά ρολόγια

32 Λειτουργία ενός D flip-flop D CLK D C Q Q' MASTER D C Q Q' SLAVE CLK = LOW => Master ενεργό, Slave ανενεργό. Η είσοδος αντιγράφεται στην έξοδο του Master. Η έξοδος του Slave διατηρεί τη προηγούμενη κατάσταση CLK = ΗIGH => Master ανενεργό και συνεπώς διατηρεί τη προηγούμενη κατάσταση. Το Slave ενεργό και αντιγράφει την έξοδο του Μaster στην έξοδο του κυκλώματος. Αφού η έξοδος του Master δεν αλλάζει όσο το ρολόι είναι στο 1, και η έξοδος του Slave δεν αλλάζει όσο το ρολόι είναι στο 0 ότι δειγματοληπτήθηκε στην ανοδική ακμή του CLK θα είναι η έξοδος του κυκλώματος μέχρι την επόμενη ανοδική ακμή.

33 Πίνακας αληθείας και παράδειγμα λειτουργίας D CLK D Q C Q' MASTER QM D Q C Q' SLAVE D CLK Q Q' X 0 Q(t-1) Q'(t-1) X 1 Q(t-1) Q'(t-1)

34 Συμβολισμοί και χρόνοι ενδιαφέροντος Θετικά ακμοπυροδοτούμενο D flip-flop Αρνητικά ακμοπυροδοτούμενο D flip-flop D Q D Q CLK Q' CLK Q'

35 Αρνητικά ακμοπυροδότητο D flip-flop D D Q QM D Q C Q' MASTER C Q' SLAVE CLK D CLK Q Q' X 0 Q(t-1) Q'(t-1) X 1 Q(t-1) Q'(t-1)

36 Πιο οικονομική υλοποίηση ενός D-FF 6 πύλες έναντι 8 της προηγούμενης περίπτωσης 2 μανταλωτές εξαρτώνται από τις τιμές των CLK και D, ενώ το τελευταίο παράγει τις εξόδους. Όταν CLK = 0, S = R = 1 και η έξοδος παραμένει στη προηγούμενη τιμή της.

37 Πιο οικονομική υλοποίηση ενός D-FF

38 Πιο οικονομική υλοποίηση ενός D-FF

39 Αμεσες είσοδοι σε ένα FF Μερικές φορές θέλουμε να θέσουμε ή να καθαρίσουμε ένα FF χωρίς να χρειάζεται να οδηγήσουμε τόσο την είσοδο D όσο και την είσοδο CLK Το πλέον προφανές παράδειγμα είναι η αρχικοποίηση των ακολουθιακών στοιχείων του κυκλώματός μας σε κάποια αρχική κατάσταση. Αυτό επιτυγχάνεται με τη προσθήκη άμεσων εισόδων : Άμεση είσοδος θέσης (Preset / Direct Set). H ενεργοποίησή της οδηγεί το Q στο 1 (Q' στο 0). Άμεση είσοδος μηδενισμού / καθαρισμού (Reset / Clear). Η ενεργοποίησή της οδηγεί το Q στο 0 (Q' στο 1). Οι άμεσες είσοδοι μπορούν να δρουν Ασύγχρονα Σύγχρονα

40 1η περίπτωση : Ασύγχρονες άμεσες είσοδοι Ονομάζονται ασύγχρονες, γιατί η ενεργοποίησή τους έχει άμεσο αποτέλεσμα στην έξοδο του FF, ανεξάρτητο δηλαδή από το χρονισμό του.

41 D-FF με ασύγχρονο Reset (αρνητικής λογικής) Όταν Reset = 0, Q'=1. Eπίσης S=1 και συνεπώς Q=0. Όταν Reset = 1, το κύκλωμα είναι ισοδύναμο με το θετικά ακμοπυροδότητο D FF που εξετάσαμε προηγούμενα.

42 D-FF με ασύγχρονο Clear και Preset αρνητικής λογικής Όταν ~Clear=0 και ~Preset=1 => ~Q=1, S=1και συνεπώς Q=0. Όταν ~Preset=0 και ~Clear=1, => Q=1, R=1 και συνεπώς και ~Q=0. Όταν ~Clear = ~Preset =0, τότε Q=~Q=1. Aσταθής κατάσταση. Όταν ~Clear = ~Preset =1, τότε αναγόμαστε στο προηγούμενο κύκλωμα του θετικά ακμοπυροδότητου D FF.

43 D-FF με ασύγχρονο Reset και Preset SN 74 (ALS) 74 Dual Positive Edge D Flip Flops with Asynchronous Preset and Clear

44 2η περίπτωση : Σύγχρονες άμεσες είσοδοι Ονομάζονται σύγχρονες, γιατί ανεξάρτητα του πότε γίνει ενεργοποίησή τους, η επίδρασή τους στην έξοδο του FF, γίνεται με το επόμενο σήμα χρονισμού. Σε θετικά ακμοπυροδότητο FF αυτό σημαίνει με την επόμενη ανερχόμενη ακμή του ρολογιού.

45 D Flip Flop με σύγχρονο Reset ή σύγχρονο Preset Προκύπτουν από το βασικό κύκλωμα ενός D Flip Flop με έλεγχο της D εισόδου του. Αρνητικής λογικής σήμα καθαρισμού ~Clear Θετικής λογικής σήμα θέσης Preset D Και τα δύο μαζί με προτεραιότητα στο ~Clear D Preset D ~Clear

46 Πρέπει να θυμάστε (2) D SET Q CLR Q D SET Q CLR Q

47 Aλλα είδη flip - flop Το D flip flop είναι το πιο οικονομικό από άποψης απαιτούμενων πυλών. Ωστόσο δεν είναι το μόνο που μπορούμε να φτιάξουμε. Τα πιο διαδεδομένα άλλα είδη flip flop είναι : Το Μaster / Slave S-R Flip Flop To Master / Slave J-K Flip Flop To ακμοπυροδότητο J-K Flip Flop To T Flip Flop To Scan Flip Flop Σε καθένα από αυτά, μπορούμε να προσθέτουμε άμεσες εισόδους είτε σύγχρονες είτε ασύγχρονες. Η συλλογή όλων αυτών των ακολουθιακών στοιχείων αποτελεί μια βιβλιοθήκη στοιχείων με την οποία φτιάχνουμε τα ακολουθιακά κυκλώματά μας.

48 Μaster / Slave S-R Flip Flop C S R Q (next state) 0 X X Q (t-1) Q (t-1) (μηδενισμός) (θέση) Απροσδιοριστία Oπως φτιάξαμε ένα Master / Slave D FF με τη χρήση δύο D latches, μπορούμε με τη χρήση δύο S-R latches με είσοδο επίτρεψης να φτιάξουμε ένα Master / Slave S-R FF.

49 Μaster / Slave S-R Flip Flop - 2 S R S C R Q Q S C R Q Q Q Q' C Oταν το ρολόι είναι στο 1, το Slave δεν αλλάζει κατάσταση. Όταν το ρολόι μεταβαίνει στο 0 αλλάζει η έξοδος του Slave αλλά το Master είναι ανενεργό και συνεπώς δίνει συνεχώς την ίδια είσοδο στο Slave. Αρα αλλαγή της εξόδου μόνο στην του C. C S R Q (next state) 0 X X Q (t-1) Q (t-1) (μηδενισμός) (θέση) Απροσδιοριστία

50 Μaster / Slave J-K Flip Flop Προτάθηκε για να λύσει το πρόβλημα της απροσδιοριστίας. Φτιάχνεται κι αυτό με 2 S-R latches με είσοδο επίτρεψης και επιπλέον λογική που αποτρέπει την είσοδο S=R=1 στο Μaster latch. J K S C R Q Q S C R Q Q Q Q' C Όταν η J πάρει τη τιμή 1, η S γίνεται 1, μόνο αν το Q' είναι 1, δηλαδή αν το Q είναι 0! Όταν η Κ πάρει τη τιμή 1, η R γίνεται 1, μόνο αν το Q είναι 1, δηλαδή αν το Q' είναι 0!

51 Μaster / Slave J-K Flip Flop - 2 S R C Q Q S R C Q Q C 1 0 K J S R C Q Q S R C Q Q C S R C Q Q S R C Q Q C S R C Q Q S R C Q Q C Η εφαρμογή της εισόδου J = K = 1 είχε ως αποτέλεσμα την αντιστροφή της προηγούμενης κατάστασης. Ακριβώς το ίδιο θα συνέβαινε αν η αρχική μας κατάσταση ήταν η Q=0 και ~Q=1.

52 Μaster / Slave J-K Flip Flop 3 C J K Q (next state) 0 X X Q (t-1) Q (t-1) (μηδενισμός) (θέση) ~Q(t-1)

53 Ακμοπυροδοτούμενο J-K Flip Flop Φτιάχνεται από το ακμοπυροδοτούμενο D FF με επιπλέον λογική J K D Q Q C CLK Q ~Q

54 Ακμοπυροδοτούμενο J-K Flip Flop - 2 SN 74 (ALS) 109 Dual Positive Edge J-~K Flip Flops with Asynchronous Preset and Clear

55 T(oggle) Flip Flop Στη πιο βασική του εκδοχή τo Τ FF αλλάζει κατάσταση σε κάθε πυροδότηση CLK D SET Q CLK CLR Q ΠΑΡΑΤΗΡΗΣΗ : Η έξοδος ενός Τ FF έχει τη μισή συχνότητα της εισόδου του!!! Ένα Τ FF κατασκευάζεται από ένα D ή ένα J-K FF. CLK D SET Q 1 CLK J SET Q CLR Q K CLR Q

56 T(oggle) Flip Flop με είσοδο επίτρεψης Στις πιο πολλές εφαρμογές δε χρειαζόμαστε εναλλαγή σε κάθε πυροδότηση => μια είσοδος επίτρεψης (enable στο εξής Τ) είναι ωφέλιμη. CLK DT SET Q T CLK CLR Q Ένα Τ FF κατασκευάζεται και πάλι είτε από ένα D ή ένα J-K FF. EN T D Q EN T T CLK J CLK Q T CLK CLK Q K ~Q

57 Scan Flip Flop (σάρωσης) Στα σημερινά ολοκληρωμένα κυκλώματα υπάρχουν χιλιάδες έως εκατοντάδες χιλιάδες FF. Όταν θέλουμε να δοκιμάσουμε αν ένα τέτοιο κύκλωμα δουλεύει σωστά θα πρέπει να μπορούμε να βάζουμε τα FFς του σε συγκεκριμένες καταστάσεις αλλά και να διαβάζουμε τις εξόδους τους. Αυτό δε μπορεί να γίνει (ή είναι εξαιρετικά χρονοβόρο) χρησιμοποιώντας τις εισόδους / εξόδους λειτουργίας του FF. Σκοπός του scan FF είναι να παρέχει εναλλακτική είσοδο / έξοδο δεδομένων που τη χρησιμοποιούμε κατά τη διάρκεια των δοκιμών μόνο.

58 Scan D FF TE D TI CLK D CLK Q Q Ισοδύναμο με ένα DFF και ένα πολυπλέκτη στην είσοδο με σήμα επιλογής το ~ΤΕ (Τest Enable). Για κανονική λειτουργία ΤΕ = 0 και παίρνουμε ένα D FF. Όταν ΤΕ = 1, η είσοδος D, οδηγείται από το ΤΙ (Test Input). Oι επιπλέον είσοδοι χρησιμοποιούνται για τη σύνδεση όλων των FF του κυκλώματος σε μια αλυσίδα σάρωσης (scan chain).

59 TE D TI CLK Scan Chain D CLK Q Q D TE TI CLK Q Q TI CLK TE D TE TI CLK Q Q D TE TI CLK Oλες οι είσοδοι ΤΕ συνδέονται μαζί. Q Q Η έξοδος κάθε FF συνδέεται και στην είσοδο ΤΙ του επόμενου Όταν ΤΕ = 1, μπαίνουμε σε κατάσταση δοκιμής, όπου η επιθυμητή τιμή των στοιχείων εισάγεται σειριακά. Κατόπιν με ΤΕ=0 γυρίζουμε στην κανονική λειτουργία. Τέλος με ΤΕ=1 και πάλι και παλμούς ρολογιού από την έξοδο ΤΟ διαβάζουμε τη νέα κατάσταση των στοιχείων. D TE TI CLK Q Q D TE TI CLK Q Q TO

60 Πρέπει να θυμάστε (3) Level vs edge triggered στοιχεία. Αμεσες είσοδοι για Reset και Preset. Πρέπει να τηρούνται χρόνοι Setup και Hold. To D FF είναι το πιο οικονομικό και γι αυτό χρησιμοποιείται κατά κόρο. Αλλα χρησιμοποιούμενα FFs είναι τα J-K και Τ. Για εύκολη δοκιμή των κυκλωμάτων μας καλό είναι τα FFs να είναι Scan. D Q(t) T Q(t) 0 Q(t-1) 1 ~Q(t-1) J K Q(t) 0 0 Q(t-1) ~Q(t-1) S R Q(t) 0 0 Q(t-1) ?

61 Πίνακες Διέγερσης Μπορεί να θεωρηθεί ως ο συμπληρωματικός του χαρακτηριστικού πίνακα λειτουργίας ενός flip flop. O χαρακτηριστικός πίνακας μας δείχνει για κάθε πιθανή τρέχουσα κατάσταση και τιμή εισόδου την επόμενη κατάσταση του flip flop. O πίνακας διέγερσης, μας δίνει τις απαιτούμενες τιμές εισόδου του flip flop ώστε να μεταβούμε από μια τρέχουσα κατάσταση, σε μια επιθυμητή επόμενη κατάσταση.

62 Μηχανές πεπερασμένων καταστάσεων πολλών ειδών. Εμάς μας ενδιαφέρουν όσες περιέχουν στοιχεία μνήμης που αλλάζουν όλα μαζί με το ίδιο σήμα χρονισμού. Η μηχανή αλλάζει κατάσταση με την ενεργοποίηση του ρολογιού. Γενική δομή μιας μηχανής πεπερασμένων καταστάσεων (κατά Mealy) : Είσοδοι Λογική επόμενης κατάστασης F Μνήμη Κατάστασης (ακολουθιακά κυκλώματα) Τρέχουσα Κατάσταση Λογική εξόδου G Εξοδοι Ρολόι Σήμα ρολογιού

63 Μηχανές πεπερασμένων καταστάσεων-2 Είσοδοι Λογική επόμενης κατάστασης F Μνήμη Κατάστασης (ακολουθιακά κυκλώματα) Τρέχουσα Κατάσταση Λογική εξόδου G Εξοδοι Ρολόι Σήμα ρολογιού Aν οι καταστάσεις που μπορεί να βρεθεί η μηχανή μου είναι κ, τότε στη μνήμη κατάστασης έχω λ ακολουθιακά στοιχεία έτσι ώστε 2 λ κ Η επόμενη κατάσταση παράγεται από τη λογική επόμενης κατάστασης F και είναι συνάρτηση εισόδων και τρέχουσας. Η λογική εξόδου G καθορίζει την έξοδο σα συνάρτηση εισόδων και τρέχουσας κατάστασης. F και G είναι συνδυαστικά και μόνο λογικά κυκλώματα. Επόμενη κατάσταση = F (τρέχουσα κατάσταση, είσοδος) Εξοδος = G (τρέχουσα κατάσταση, είσοδος)

64 Mealy vs Moore machines Είσοδοι Λογική επόμενης κατάστασης F Μνήμη Κατάστασης (ακολουθιακά κυκλώματα) Τρέχουσα Κατάσταση Λογική εξόδου G Εξοδοι Ρολόι Mealy : Moore : Σήμα ρολογιού Εξοδος = G (τρέχουσα κατάσταση, είσοδος) Εξοδος = G (τρέχουσα κατάσταση) Στη πράξη όλες οι μηχανές είναι ένα μίγμα αυτών των δύο θεωρήσεων. Στα πολύ υψηλής ταχύτητας κυκλώματα απαιτούμε έξοδο σταθερή για μια πλήρη περίοδο ρολογιού. Εκεί προτιμάμε Moore με μηδενική λογική G.

65 Eναλλακτική πρόταση : διοχέτευση Είσοδοι Λογική επόμενης κατάστασης F Μνήμη Κατάστασης (ακολουθιακά κυκλώματα) Τρέχουσα Κατάσταση Λογική εξόδου G Μνήμη Διοχέτευσης Εξοδοι Ρολόι Ρολόι Σήμα ρολογιού Οι έξοδοι κατά τη διάρκεια μιας περιόδου ρολογιού εξαρτώνται από τη κατάσταση και τις εισόδους κατά τη διάρκεια της προηγούμενης περιόδου ρολογιού.

66 Διαγράμματα μετάβασης καταστάσεων (State Transition Diagrams STDs)

67 Παράδειγμα STD για το πρόβλημα αναγνώρισης του string 1011 Mealy Moore

68 Ασκηση 1 Δώστε το κατά Moore STD μιας μηχανής πεπερασμένων καταστάσεων, η οποία να υποδεικνύει τo ψηφίο άρτιας ισοτιμίας της σειριακής εισόδου της.

69 Ασκηση 2 Αναπτύξτε το κατά Mealy STD μιας μηχανής πεπερασμένων καταστάσεων με μια είσοδο Χ και μια έξοδο Υ η οποία λειτουργεί ως εξής : όταν η είσοδος Χ πάει στο 1, η έξοδος Υ γίνεται 1 για 2 ωρολογιακούς κύκλους και μετά επανέρχεται στο 0 ακόμη κι αν η είσοδος Χ παραμένει στο 1.

70 Ασκηση 3 Δώστε STDs κατά Moore για μια μηχανή η οποία θα αναγνωρίζει στη σειριακή είσοδό της το pattern 010 μόνο και μόνο αν δεν έχει ποτέ εμφανιστεί προηγούμενα το pattern 100. Θεωρείστε ότι επικαλυπτόμενες εμφανίσεις αναγνωρίζονται 2 φορές.

71 Ασκηση 4 Πρόβλημα : Σχεδιάστε ένα STD κατά Mealy με 2 εισόδους Α, Β και 1 έξοδο Z. H Z παίρνει την τιμή 1 : Αν στην είσοδο Α έχουμε την ίδια τιμή σε δύο διαδοχικούς κύκλους ή Οσο στην είσοδο Β έχουμε 1 αφότου ήταν αληθής η πρώτη συνθήκη Σε κάθε άλλη περίπτωση η έξοδος είναι 0.

72 Mealy 00/0 0X/0 Α0 0X/1 0X/1 ΟΚ 00 11/1 ΟΚ Α1 ΑΡΧΗ 1X/0 0X/0 00/0 10/0 1X/1 0X/1 01/1 11/1 1X/0 Α1 1X/1 ΟΚ 11 1X/1 01/1 ΟΚ Α0 10/0

73 Xαρακτηρισμός ενός STD

74 Πίνακας Μετάβασης Καταστάσεων/ Εξόδου (State Transition Table STT)

75 Τι θα προσπαθήσουμε Εξετάζουμε μια συγκεκριμένη κατηγορία δομών : αυτή των μηχανών πεπερασμένων καταστάσεων. Σε κάθε μηχανή μπορούμε να αντιστοιχήσουμε ένα STD και ένα STT. Θα δείξουμε ότι : Δοθέντος ενός ακολουθιακού κυκλώματος μπορούμε εύκολα να πάρουμε ένα STT γι αυτό. Δοθέντος ενός STT μπορούμε να πάρουμε ένα ακολουθιακό κύκλωμα που να τον υλοποιεί Αρα υπάρχει αντιστοιχία μεταξύ μηχανών πεπερασμένων καταστάσεων και ακολουθιακών κυκλωμάτων. Προσοχή : η αντιστοιχία δεν είναι 1-1, ούτε επί. Θα περιγράψουμε τυπικούς τρόπους περιγραφής ακολουθιακών κυκλωμάτων και μηχανών πεπερασμένων καταστάσεων σε Verilog.

76 Μεθοδολογία Ανάλυση Ακολουθιακών Κυκλωμάτων Βήμα Α1: Γράφουμε τις λογικές συναρτήσεις των εισόδων των FFs. (ισοδύναμα : προσδιορίζουμε τις συναρτήσεις επόμενης κατάστασης & εξόδου F, G) Βήμα Α2: Καταστρώνουμε τον πίνακα καταστάσεων. Βήμα Α3: Σχεδιάζουμε το διάγραμμα καταστάσεων.

77 Χαρακτηριστικοί πίνακες (πίνακες λειτουργίας) FF D Q(t) D SET Q T Q(t) DT 0 Q(t-1) CLR SET Q 1 ~Q(t-1) CLR Q Q J K Q(t) S R Q(t) 0 0 Q(t-1) J SET Q 0 0 Q(t-1) S SET Q K CLR Q R CLR Q 1 1 ~Q(t-1) 1 1?

78 ΑΝΑΛΥΣΗ ΣΥΓΧΡΟΝΩΝ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Σύγχρονα ακολουθιακά κυκλώματα χωρίς εξωτερικές εισόδους και εξόδους Παράδειγμα 1 CLK J CLK Q J CLK Q Vcc K Q' Vcc K Q' (α) J0 Q0 J1 Q1 CLK FF0 FF1 Vcc K0 Q'0 Vcc K1 Q'1 (β)

79 Βήμα Α1: Γράφουμε τις λογικές συναρτήσεις των εισόδων των FFs. J 0 = Q 1 J 1 = Q 0 Κ 0 = 1 Κ 1 = 1 Βήμα Α2: Καταστρώνουμε τον πίνακα καταστάσεων ΠΑΡΟΥΣΑ ΚΑΤΑΣΤΑΣΗ ΕΙΣΟΔΟΙ ΕΠΟΜΕΝΗ ΚΑΤΑΣΤΑΣΗ Q1 Q0 J1 K1 J0 K0 Q1 Q Καταγράφονται ΟΛΟΙ οι δυνατοί συνδυασμοί (δυνατές καταστάσεις) Προκύπτουν από τις συναρτήσεις εισόδου και τις τιμές της παρούσας κατάστασης Προκύπτουν από τις τιμές των εισόδων J, K και τις τιμές της παρούσας κατάστασης Q καθενός FF

80 Βήμα Α3: Σχεδιάζουμε το διάγραμμα καταστάσεων Παρατηρήσεις Oι ωρολογιακοί παλμοί δεν δείχνονται στον πίνακα και στο διάγραμμα καταστάσεων. Εννοείται ότι από την παρούσα κατάσταση μεταβαίνουμε στην επόμενη κατάσταση με την έλευση της ενεργού ακμής πυροδότησης του CLK. Για την κατάστρωση του πίνακα βασιζόμαστε εκτός από την παρούσα κατάσταση και τις τιμές των εισόδων, και στον πίνακα λειτουργίας του FF. Με άλλα λόγια, οι πίνακες λειτουργίας των FFs είναι απαραίτητοι για την ανάλυση ενός ακολουθιακού κυκλώματος.

81 Παράδειγμα 2 Βήμα Α1: Γράφουμε τις λογικές συναρτήσεις των εισόδων των FFs. J 0 = K 0 = (Q 1 Q 2 ) J 1 = Q 0 J 2 = Q 0 Q 1 K 1 = Q 0 + Q 1 Q 2 K 2 = Q 0 Q 1 + Q 2 Q 1 = (Q 0 + Q 2 )Q 1

82 Βήμα Α2: Καταστρώνουμε τον πίνακα καταστάσεων Π. Κ. ΕΙΣΟΔΟΙ E. Κ. Q2 Q1 Q J2K2 J1K1 J0K Q2 Q1 Q0 Βήμα Α3: Σχεδιάζουμε το διάγραμμα καταστάσεων mod

83 Σύγχρονα ακολουθιακά κυκλώματα με εξωτερικές εισόδους Παράδειγμα 3 Vcc X CLK J1 CLK Q1 J0 CLK Q0 K1 Q'1 K0 Q'0 Βήμα Α1: Γράφουμε τις λογικές συναρτήσεις των εισόδων των FFs. J 1 = K 1 = X Q 0 J 0 = K 0 = 1

84 Βήμα Α2: Καταστρώνουμε τον πίνακα καταστάσεων ΕΙΣΟΔΟΣ & ΠΑΡΟΥΣΑ ΚΑΤΑΣΤΑΣΗ ΕΙΣΟΔΟΙ ΕΠΟΜΕΝΗ ΚΑΤΑΣΤΑΣΗ Χ Q1 Q0 J1 K1 J0K0 Q1 Q Βήμα Α3: Σχεδιάζουμε το διάγραμμα καταστάσεων

85 Παράδειγμα 4 Σύγχρονα ακολουθιακά κυκλώματα με εξωτερικές εισόδους και εξόδους CLK T1 CLK Q1 T0 CLK Q0 Q'1 Q'0 Y X Βήμα Α1: Γράφουμε τις λογικές συναρτήσεις των εισόδων των FFs. T 1 = Q 0 Τ 0 = Χ Q 1 Y = X Q 1 Q 0

86 Βήμα Α2: Καταστρώνουμε τον πίνακα καταστάσεων ΕΙΣΟΔΟΣ & ΠΑΡΟΥΣΑ ΚΑΤΑΣΤΑΣΗ ΕΙΣΟΔΟΙ ΕΞΟΔΟΣ ΕΠΟΜΕΝΗ ΚΑΤΑΣΤΑΣΗ Χ Q1 Q0 T1 T0 Υ Q1 Q /0 0/0 Βήμα Α3: Σχεδιάζουμε το διάγραμμα καταστάσεων (Μηχανή Mealy) 0/1 00 1/1 0/1 11 1/ /0 1/0

87 Παράδειγμα 5 CLK D2 CLK Q2 Q'2 D1 CLK Q1 Q'1 Y D0 CLK Q0 Q'0 Βήμα Α1: Γράφουμε τις λογικές συναρτήσεις των εισόδων των FFs. D 0 = Q 2 D 1 = Q 0 D 2 = Q 0 Q 1 Q 2 Υ = Q 0 Q 1 Q 2

88 Βήμα Α2: Καταστρώνουμε τον πίνακα καταστάσεων ΠΑΡΟΥΣΑ ΚΑΤΑΣΤΑΣΗ ΕΙΣΟΔΟΙ ΕΞΟΔΟΣ ΕΠΟΜΕΝΗ ΚΑΤΑΣΤΑΣΗ Q2 Q1 Q0 D2 D1 D0 Υ Q2 Q1 Q Βήμα Α3: Σχεδιάζουμε το διάγραμμα καταστάσεων (Μηχανή Moore) CLK Y

89 Άσκηση 1 η : Να αναλυθούν τα κυκλώματα

90 Άσκηση 2 η : Να αναλυθούν τα κυκλώματα

91 Άσκηση 3 η : Να αναλυθεί το κύκλωμα

92 Επιπλέον παραδείγματα VCC 5V FF0 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR FF1 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR 5V VCC

93 VCC 5V 1 ο βήμα...συναρτήσεις FF0 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR FF1 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR J0=Q1+Q0 J1=(Q0Q1) K0= Q0Q1 K1= Q0Q1 5V VCC

94 VCC 5V 1 ο βήμα...συναρτήσεις ~1PR FF0 ~1PR FF1 1J 1Q 1J 1Q 1CLK 1CLK 1K ~1Q J0=Q1+Q0 J1=(Q0Q1) K0= Q0Q1 K1= Q0Q1 1K ~1Q ~1CLR ~1CLR 2 ο βήμα...πίνακας μεταβάσεων 5V VCC ΠΡΟΗΓ. ΕΙΣΟΔΟΙ ΕΠΟΜ. Q1 Q0 J1 K1 J0 K0 Q1 Q

95 VCC 5V 1 ο βήμα...συναρτήσεις FF0 FF1 ~1PR ~1PR 1J 1Q 1J 1Q 1CLK 1CLK J0=Q1+Q0 J1=(Q0Q1) K0= Q0Q1 K1= Q0Q1 1K ~1Q 1K ~1Q ~1CLR ~1CLR 2 ο βήμα...πίνακας μεταβάσεων VCC 5V 3 ο βήμα...διάγραμμα μεταβάσεων ΠΡΟΗΓ. ΕΙΣΟΔΟΙ ΕΠΟΜ. Q1 Q0 J1 K1 J0 K0 Q1 Q

96 Ανάλυση με επιπλέον εξωτερική είσοδο 5V Y ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR 5V

97 Y FF1 5V ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR FF0 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR 1 ο βήμα...συναρτήσεις J1=Q1 +Q0 K1= Y J0=Q1 K0= Q1 5V

98 5V Y FF1 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR FF0 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR 1 ο βήμα...συναρτήσεις J1=Q1 +Q0 K1= Y J0=Q1 K0= Q1 2 ο βήμα...πίνακας μεταβάσεων 5V ΠΡΟΗΓ. ΕΙΣΟΔΟΙ ΕΠΟΜ. Y Q1 Q0 J1 K1 J0 K0 Q1 Q

99 5V Y FF1 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR FF0 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR 1 ο βήμα...συναρτήσεις J1=Q1 +Q0 K1= Y J0=Q1 K0= Q1 2 ο βήμα...πίνακας μεταβάσεων 3 ο βήμα...διάγραμμα μεταβάσεων V ΠΡΟΗΓ. ΕΙΣΟΔΟΙ ΕΠΟΜ. Y Q1 Q0 J1 K1 J0 K0 Q1 Q

100 5V Y FF1 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR FF0 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR 1 ο βήμα...συναρτήσεις J1=Q1 +Q0 K1= Y J0=Q1 K0= Q1 2 ο βήμα...πίνακας μεταβάσεων 3 ο βήμα...διάγραμμα μεταβάσεων , 1 0, 1 5V ΠΡΟΗΓ. ΕΙΣΟΔΟΙ ΕΠΟΜ. Y Q1 Q0 J1 K1 J0 K0 Q1 Q

101 5V Y FF1 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR FF0 ~1PR 1J 1Q 1CLK 1K ~1Q ~1CLR 1 ο βήμα...συναρτήσεις J1=Q1 +Q0 K1= Y J0=Q1 K0= Q1 2 ο βήμα...πίνακας μεταβάσεων 3 ο βήμα...διάγραμμα μεταβάσεων X X 5V Y=0, , 00 10, Y=1, ΠΡΟΗΓ. ΕΙΣΟΔΟΙ ΕΠΟΜ. Y Q1 Q0 J1 K1 J0 K0 Q1 Q

102

103

104 Σχεδίαση ενός ακολουθιακού κυκλώματος που υλοποιεί μια μηχανή πεπερασμένων καταστάσεων Μεθοδολογία 9 βημάτων, σχεδόν ανάστροφη της διαδικασίας ανάλυσης 1. Το πιο σημαντικό βήμα. Ο σχεδιαστής κάνει τη μετάφραση μιας λεκτικής περιγραφής σε μια τυποποιημένη περιγραφή, STD / STT. Μνημονικά ονόματα για τις καταστάσεις. 2. (Προαιρετικά?) Ελαχιστοποίηση του αριθμού καταστάσεων. (Εκτελείται σχεδόν πάντα με υπολογιστές). 3. Επιλογή μεταβλητών καταστάσεων και αντιστοίχηση καταστάσεων και τιμών μεταβλητών ( Tο βήμα που ξεχωρίζει τους έμπειρους από τους άπειρους σχεδιαστές). Τα βήματα 4 έως και 9 σήμερα αποτελούν αυτοματοποιημένες διαδικασίες. Στο μάθημα θα τις κάνουμε αναλυτικά απλά και μόνο για να δείτε ότι είναι τόσο τυπικές που μπορείτε και εσείς να τις αυτοματοποιήσετε!!! 4. Αντικατάσταση στον STT. Δημιουργείται ένας πίνακας μετάβασης / εξόδου για κάθε τρέχουσα τιμή κατάστασης και εισόδου. 5. Επιλογή τύπου latches και flip flop. 6. Πίνακες διέγερσης 7. Εξισώσεις διέγερσης και εξόδου 8. Απλοποίηση λογικών συναρτήσεων 9. Δημιουργία λογικού κυκλώματος

105 Βήμα 1 : STT / STD H διαδικασία αυτή μοιάζει αρκετά με τη συγγραφή προγράμματος Εχεις μια πρώτη ιδέα των εισόδων / εξόδων που απαιτούνται αλλά γνωρίζεις ελάχιστα για το πως θα πετύχεις τις εξόδους με βάση τις εισόδους. Μπορεί να σκαρφιστείς διάφορους τρόπους να λύσεις το πρόβλημα. Διαλέγεις με βάση τη κοινή λογική ή εντελώς τυχαία. Μπορεί να υπάρξουν ειδικές περιπτώσεις που δε φαίνονται με τη πρώτη ανάγνωση της αρχικής περιγραφής. Στο μυαλό σου θα πρέπει να έχεις όλες τις εναλλακτικές Δεν υπάρχει αλγόριθμος για τη διαδικασία που ακολουθείς. Αρα δεν υπάρχουν εγγυήσεις ότι θα το ολοκληρώσεις. Αλλά πρέπει να το κάνεις! Το STT / STD που σχεδιάζεις θα κάνει όσα εσύ προέβλεψες και σχεδίασες. Ούτε λιγότερα, ούτε περισσότερα Τίποτα δε λειτουργεί με τη πρώτη φορά. Debug και επανασχεδίαση!!! Δε χρειάζεται να αγχώνεστε! Σίγουρα έχετε γράψει προγράμματα που δούλεψαν, οπότε σίγουρα θα σχεδιάσετε και σωστά STT/STD.

106 Το παλιό καλό μας πρόβλημα : Σχεδιάστε ένα σύγχρονο ακολουθιακό κύκλωμα με 2 εισόδους Α, Β και 1 έξοδο Z, η οποία παίρνει την τιμή 1 αν : Η Α είχε την ίδια τιμή σε κάθε έναν από τους δύο προηγούμενους παλμούς ρολογιού ή Η Β είναι στο 1 από την τελευταία φορά που ήταν αληθής η πρώτη συνθήκη Ξέραμε ελάχιστα από STD design κι όμως τα καταφέραμε! 0X/1 Η πρώτη λύση που δώσαμε ΟΚ 00 Α0 μέσω ενός STD για ένα Mealy FSM που λύνει το πρόβλημα. Ερωτήματα : ΑΡΧΗ Είναι το πιο απλό STD? Mealy ή Moore, πότε και Α1 ΟΚ γιατί? 11 0X/0 1X/0 1X/0 0X/0 00/0 0X/1 1X/1 10/0 00/0 1X/1 1X/1 11/1 0X/1 01/1 ΟΚ Α1 01/1 11/1 ΟΚ Α0 10/0

107 0X 1X 00 0X 0X Α0 Z=0 0X ΟΚ 00 Z=1 11 ΟΚ Α1 Z=1 START Z=0 1X Α1 Z=0 00 1X 10 ΟΚ 11 Z=1 1X 1X 0X ΟΚ Α0 Z=1 Είναι το πιο απλό STD? 10 Είσοδοι (ΑΒ) Σημασία Τρέχουσα κατάσταση Z S(t) Αρχική ΙΝΙΤ A0 A0 A1 A1 0 Κατάσταση Eίχα 0 στο Α A0 OK00 OK00 A1 A1 0 Είχα 1 στο Α A1 A0 A0 OK11 OK11 0 Είχα 00 στο Α ΟΚ00 ΟΚ00 ΟΚ00 ΟΚΑ1 Α1 1 Είχα 11 στο Α ΟΚ11 Α0 ΟΚΑ0 ΟΚ11 ΟΚ11 1 ΟΚ, μετά Α=0 ΟΚΑ0 ΟΚ00 ΟΚ00 ΟΚΑ1 Α1 1 ΟΚ, μετά Α=1 ΟΚΑ1 Α0 ΟΚΑ0 ΟΚ11 ΟΚ11 1 Επόμενη κατάσταση S(t+1)

108 Βήμα 2 : Ελαχιστοποίηση Καταστάσεων Aν για το ίδιο κύκλωμα μπορεί να υπάρξουν STD / STT πίνακες με διαφορετικό αριθμό καταστάσεων, αναζητούμε μια τυπική διαδικασία που θα μας πάει από ένα πίνακα σε έναν άλλο, με μικρότερο αριθμό καταστάσεων. Σήμερα η διαδικασία αυτή γίνεται αυτόματα και δεν χρειάζεται να εμπλακεί ο σχεδιαστής. Την εξετάζουμε για μαθησιακούς καθαρά λόγους. Η διαδικασία στηρίζεται στην ανίχνευση "ισοδύναμων" καταστάσεων. Δύο καταστάσεις είναι ισοδύναμες όταν : Παράγουν τις ίδιες εξόδους για όλες τους συνδυασμούς εισόδων και Για κάθε συνδυασμό εισόδων οδηγούν είτε στην ίδια επόμενη κατάσταση είτε σε ισοδύναμες καταστάσεις. Είσοδοι (ΑΒ) Σημασία Τρέχουσα κατάσταση Z S(t) Αρχική ΙΝΙΤ A0 A0 A1 A1 0 Κατάσταση Eίχα 0 στο Α A0 OK00 OK00 A1 A1 0 Είχα 1 στο Α A1 A0 A0 OK11 OK11 0 Είχα 00 στο Α ΟΚ00 ΟΚ00 ΟΚ00 ΟΚΑ1 Α1 1 Είχα 11 στο Α ΟΚ11 Α0 ΟΚΑ0 ΟΚ11 ΟΚ11 1 ΟΚ, μετά Α=0 ΟΚΑ0 ΟΚ00 ΟΚ00 ΟΚΑ1 Α1 1 ΟΚ, μετά Α=1 ΟΚΑ1 Α0 ΟΚΑ0 ΟΚ11 ΟΚ11 1 Επόμενη κατάσταση S(t+1)

109 Ενας απλοποιημένος STT για το παράδειγμά μας Σημασία O πίνακας αυτός είναι "ελάχιστος", έχει δηλαδή τον μικρότερο δυνατό αριθμό καταστάσεων. Υπάρχουν και άλλοι πίνακες για το ίδιο πρόβλημα με μεγαλύτερο αριθμό καταστάσεων. Είσοδοι (ΑΒ) Σημασία Τρέχουσα κατάσταση S(t) Z Αρχική Κατάσταση ΙΝΙΤ A0 A0 A1 A1 0 Eίχα 0 στο Α A0 OK0 OK0 A1 A1 0 Είχα 1 στο Α A1 A0 A0 OK1 OK1 0 Δύο ίδια, τελευταία ΟΚ0 ΟΚ0 ΟΚ0 ΟΚ1 Α1 1 Α=0 Δύο ίδια, τελευταία Α=1 Είσοδοι (ΑΒ) Τρέχουσα κατάσταση Z S(t) ΙΝΙΤ A0 A0 A1 A1 0 Αρχική Κατάσταση Eίχα 0 στο Α A0 OK00 OK00 A1 A1 0 Είχα 1 στο Α A1 A0 A0 OK11 OK11 0 Είχα 00 στο Α ΟΚ00 ΟΚ00 ΟΚ00 ΟΚΑ1 Α1 1 Είχα 11 στο Α ΟΚ11 Α0 ΟΚΑ0 ΟΚ11 ΟΚ11 1 ΟΚ, μετά Α=0 ΟΚΑ0 ΟΚ00 ΟΚ00 ΟΚΑ1 Α1 1 ΟΚ, μετά Α=1 ΟΚΑ1 Α0 ΟΚΑ0 ΟΚ11 ΟΚ11 1 Επόμενη κατάσταση S(t+1) ΟΚ1 Α0 ΟΚ0 ΟΚ1 ΟΚ1 1 Επόμενη κατάσταση S(t+1)

110 Ελαχιστοποίηση Καταστάσεων - Παράδειγμα 2

111

112 Ελαχιστοποίηση Καταστάσεων - Real world! Η μείωση των εσωτερικών καταστάσεων μπορεί να οδηγήσει σε μείωση του αριθμού των flip flops. Μείωση ωστόσο του αριθμού των εσωτερικών καταστάσεων δεν οδηγεί πάντα σε μείωση του αριθμού των flip flops. Για παράδειγμα μείωση από 12 σε 9 καταστάσεις, δεν οδηγεί σε αντίστοιχη μείωση των 4 (κατ ελάχιστο) flip flops που απαιτούνται και στις δύο περιπτώσεις. Στη δεύτερη περίπτωση όμως αυξάνουν οι αχρησιμοποίητες καταστάσεις. Όπως θα δούμε αυτές ισοδυναμούν με αδιάφορους όρους που μπορεί να οδηγήσουν σε μείωση της συνδυαστικής λογικής. Ωστόσο υπάρχουν περιπτώσεις που η αύξηση του αριθμού των καταστάσεων μπορεί να απλοποιήσει τη σχεδίαση!!! Αρα και μια αυτοματοποιημένη διαδικασία ελαχιστοποίησης δε βοηθά πάντοτε!!! Σημαντικότερες μειώσεις μπορεί να επιτευχθούν με το επόμενο βήμα, αυτό της αντιστοίχισης καταστάσεων.

113 Βήμα 3 : Αντιστοίχιση Καταστάσεων Δυαδικές μεταβλητές κατάστασης = log 2 (αριθμός καταστάσεων) Αντιστοίχιση καταστάσεων => σε κάθε κατάσταση αναθέτουμε συγκεκριμένο συνδυασμό τιμών των δυαδικών μεταβλητών Στο παράδειγμά μας υπάρχουν 5 καταστάσεις, άρα θα χρειαστούμε τουλάχιστον 3 flip flops (δυαδικές μεταβλητές κατάστασης). Τα 3 flip flops μας δίνουν 8 καταστάσεις. Αρα θα υπάρχουν 8 5 = 3 αχρησιμοποίητες καταστάσεις Υπάρχουν [8! /(5! 3!)] x 5! = 6720 δυνατές συναρτήσεις αντιστοίχισης!!! Κάθε μία πιθανά οδηγεί σε διαφορετικό κύκλωμα!!! S(t) Z ΙΝΙΤ A0 A0 A1 A1 0 A0 OK0 OK0 A1 A1 0 A1 A0 A0 OK1 OK1 0 ΟΚ0 ΟΚ0 ΟΚ0 ΟΚ1 Α1 1 ΟΚ1 Α0 ΟΚ0 ΟΚ1 ΟΚ1 1

114 Πιθανές Αντιστοιχίσεις Ο πιο απλός τρόπος είναι να χρησιμοποιήσουμε στην αντιστοίχιση τις πρώτες 5 δυαδικές αναπαραστάσεις των δυαδικών μας μεταβλητών ΙΝΙΤ -> 000, Α0->001, Α1->010, ΟΚ0->011, ΟΚ1->100 Ο απλούστερος τρόπος δεν εγγυάται τις απλούστερες εξισώσεις διέγερσης, εξόδου και συνεπώς και το απλούστερο κύκλωμα. Η επιλογή της αντιστοίχισης έχει μεγάλο αντίκτυπο στο τελικό κύκλωμα και αλληλεπιδρά με άλλους παράγοντες, όπως το τι flip flop θα χρησιμοποιηθούν, τι λογικές συναρτήσεις θα χρησιμοποιήσουμε (SOP, POS )

115 Ψάχνοντας τη καλύτερη αντιστοίχιση Πως βρίσκουμε τη καλύτερη αντιστοίχιση? Δοκίμασε όλες!!! Πρακτικά αδύνατο!!! Εμπειρία Απλοί κανόνες : Για την αρχική κατάσταση διάλεξε την ή την Ελαχιστοποίησε τον αριθμό των στοιχείων που αλλάζουν Μεγιστοποίησε τον αριθμό των στοιχείων που δεν αλλάζουν σε μια ομάδα καταστάσεων. Εκμεταλλεύσου τυχόν συμμετρίες. Αν δύο καταστάσεις ή δύο ομάδες καταστάσεων σημαίνουν περίπου το ίδιο πράγμα και έχεις αναθέσει τιμές για τη μία ανάθεσε αντίστοιχες τιμές για την άλλη (π.χ. άλλαξε μόνο ένα bit). Εκμεταλλεύσου τις αχρησιμοποίητες καταστάσεις. Χώρισε τα δ.ψ. των καταστάσεων σε ομάδες με κάποια σημασία σε σχέση με τις εισόδους ή τις εξόδους Μήπως η χρήση περισσότερων μεταβλητών οδηγεί σε απλούστευση?

116 Οι υπόλοιπες πιο έξυπνες (?) αντιστοιχίσεις Αναλυμένη To πρώτο δ.ψ. μου καθορίζει την ΙΝΙΤ. Τα υπόλοιπα έτυχε να φαίνονται σα δυαδική μέτρηση. Το Q3 δείχνει τη προηγούμενη τιμή του Α! Το Q2 δείχνει πότε η έξοδος πάει στο 1! Οne-hot assignment 1 δυαδικό ψηφίο ανά κατάσταση, Μόνο ένα flip flop ανά κάθε χρονική στιγμή στο 1. Απλές συναρτήσεις διέγερσης και συνδυαστικές εξισώσεις Almost One-Hot Assignment No-hot συνδυασμός για την αρχική κατάσταση.

117 Αχρησιμοποίητες Καταστάσεις Τι κάνουμε τις αχρησιμοποίητες καταστάσεις? Δύο λογικές προσεγγίσεις, ανάλογα με τις απαιτήσεις της εφαρμογής Προσέγγιση 1 : Ελάχιστος κίνδυνος Η μηχανή καταστάσεων λόγω κακής σχεδίασης, απρόσμενης εισόδου ή αστοχίας του υλικού, μπορεί να μπει σε κάποια αχρησιμοποίητη κατάσταση Ολες οι αχρησιμοποίητες καταστάσεις θα πρέπει να οδηγούν σε κάποια "χρησιμοποιούμενη" κατάσταση. Προσέγγιση 2 : Ελάχιστο κόστος Η μηχανή δε θα μπεί ποτέ σε "παράνομη" κατάσταση Στους πίνακες μετάβασης και διέγερσης μπορούν να χρησιμοποιηθούν ως αδιάφοροι όροι Απλοποίηση της λογικής διέγερσης Περίεργη έως καταστροφική συμπεριφορά αν τελικά στη πράξη το κύκλωμά μας μπει σε κάποια αχρησιμοποίητη κατάσταση.

118 Πίνακες Διέγερσης Μπορεί να θεωρηθεί ως ο συμπληρωματικός του χαρακτηριστικού πίνακα λειτουργίας ενός flip flop. O χαρακτηριστικός πίνακας μας δείχνει για κάθε πιθανή τρέχουσα κατάσταση και τιμή εισόδου την επόμενη κατάσταση του flip flop. O πίνακας διέγερσης, μας δίνει τις απαιτούμενες τιμές εισόδου του flip flop ώστε να μεταβούμε από μια τρέχουσα κατάσταση, σε μια επιθυμητή επόμενη κατάσταση.

119 Βήματα 4-9 : η χαμαλοδουλειά Στο πρόβλημά μας χρησιμοποιούμε τον ελαχιστοποιημένο πίνακας καταστάσεων : S(t) Z ΙΝΙΤ A0 A0 A1 A1 0 A0 OK0 OK0 A1 A1 0 A1 A0 A0 OK1 OK1 0 ΟΚ0 ΟΚ0 ΟΚ0 ΟΚ1 Α1 1 ΟΚ1 Α0 ΟΚ0 ΟΚ1 ΟΚ1 1 και τη αναλυμένη αντιστοίχιση του πίνακα. Μας προκύπτει ο πίνακας επιθυμητών μεταβάσεων :

120 Από πίνακα μετάβασης σε πίνακα διέγερσης Είσοδοι (ΑΒ) Q1(t) Q2(t) Q3(t) Z Q1(t+1) Q2(t+1) Q3(t+1) Χρησιμοποιώντας το πίνακα διέγερσης του επιθυμητού flip flop παίρνουμε το πίνακα διέγερσης και εξόδου Είσοδοι (ΑΒ) Q1(t) Q2(t) Q3(t) Z D1(t+1) D2(t+1) D3(t+1) O πίνακας διέγερσης είναι πανομοιότυπος με το πίνακα μετάβασης όταν χρησιμοποιούμε DFF!, λόγω της χαρακτηριστική εξίσωσης του DFF Q(t+1) = D(t+1)

121 Για υλοποίηση με JK FF Είσοδοι ΑΒ Q1(t) Q2(t) Q3(t) Z 000 1X, 0X, 0X 1X, 0X, 0X 1X, 0X, 1X 1X, 0X, 1X X0, 1X, 0X X0, 1X, 0X X0, 0X, 1X X0, 0X, 1X X0, 0X, X1 X0, 0X, X1 X0, 1X, X0 X0, 1X, X X0, X0, 0X X0, X0, 0X X0, X0, 1X X0, X1, 1X X0, X1, X1 X0, X0, X1 X0, X0, X0 X0, X0, X0 1 J1(t+1)K1(t+1), J2(t+1)K2(t+1), J3(t+1)K3(t+1)

122 Bήμα 8. Απλοποίηση των συναρτήσεων επόμενης εισόδου. Είσοδοι (ΑΒ) Q1(t) Q2(t) Q3(t) Z D1(t+1) D2(t+1) D3(t+1) Ο παραπάνω πίνακας διέγερσης - εξόδου μου καθορίζει τις λογικές ΣΥΝΔΥΑΣΤΙΚΕΣ συναρτήσεις D1(t+1) D2(t+1) D3(t+1) των 5 δυαδικών μεταβλητών (Q1(t), Q2(t), Q3(t), A, B) και τη συνάρτηση Ζ των 3 δυαδικών μεταβλητών (Q1(t), Q2(t), Q3(t)). Θυμηθείτε Moore vs Mealy!!! Θα φτιάξουμε για κάθε μία από αυτές τον αντίστοιχο χάρτη Karnaugh για να τις απλοποιήσουμε Προσοχή : Ο πίνακας διέγερσης δεν είναι ένας πλήρης πίνακας αληθείας. Δεν υπάρχει όλη η πληροφορία για τις αχρησιμοποίητες καταστάσεις 001, 010 και 011. Επιλογή λοιπόν στρατηγικής : Ελάχιστου κινδύνου / ελάχιστου κόστους Ας επιλέξουμε αυτή του ελάχιστου κινδύνου (επόμενη κατάσταση 000) και συνεπώς ας καταλήξουμε στα

123 Ελάχιστος κίνδυνος : Πίνακες αληθείας των συνδυαστικών συναρτήσεων Q1(t) Q2(t) Q3(t) D1(t+1) Q1(t) Q2(t) Q3(t) D3(t+1) Q1(t) Q2(t) Q3(t) D2(t+1) Q1(t) Q2(t) Q3(t) Z

124 9.Απλοποίηση των συνδυαστικών συναρτήσεων & υλοποίηση D1(t+1) = ~Q2(t) & ~Q3(t) Q1(t) D2(t+1) = Q1(t) & ( (Q3(t) ~^ A) (Q2(t) & B)) D3(t+1) = A & (Q1(t) (~Q2(t) & ~Q3(t)) Z= Q1(t) & Q2(t) D Q Q1 CLK CLR Q B A D CLK CLR Q Q Q2 Z D Q Q3 CLK CLR Q ~Reset Clk

125 Ελάχιστο κόστος: Πίνακες αληθείας των συνδυαστικών συναρτήσεων Q1(t) Q2(t) Q3(t) X X X X 011 X X X X 010 X X X X D1(t+1) Q1(t) Q2(t) Q3(t) X X X X 011 X X X X 010 X X X X D3(t+1) Q1(t) Q2(t) Q3(t) X X X X 011 X X X X 010 X X X X D2(t+1) Q1(t) Q2(t) Q3(t) Z X 011 X 010 X

126 Απλοποίηση των συνδυαστικών συναρτήσεων & υλοποίηση D1(t+1) = 1 D2(t+1) = Q1(t) & ~Q3(t) & ~Α Q3(t) & Α Q2(t) & Β D3(t+1) = Α Z= Q2(t)

127 Σύνοψη και real world Ξεκινώντας από μία λεκτική περιγραφή φτιάχνουμε ένα STD / STT, προσπαθούμε να μειώσουμε τις καταστάσεις με την ελπίδα να μειώσουμε τα flip flops που απαιτούνται ή να δημιουργηθούν περισσότερες αχρησιμοποίητες καταστάσεις. Αναθέτουμε κωδικοποιήσεις στις ελαχιστοποιημένες καταστάσεις (τυχαία ή με κανόνες ή με την εμπειρία μας). Αντικαθιστούμε τις κωδικοποιήσεις στον STT και παίρνουμε το πίνακα μεταβάσεων. Με τη βοήθεια του πίνακα διέγερσης κάθε flip flop παίρνουμε το πίνακα διέγερσης και εξόδου του στοχευόμενου κυκλώματος. Η απλοποίηση των συναρτήσεων μπορεί να γίνει με στρατηγική ελάχιστου κινδύνου ή ελάχιστου κόστους. Υλοποιούμε τις απλοποιημένες συναρτήσεις και παίρνουμε το κύκλωμα που υλοποιεί τη μηχανή του STD / STT. Για υλοποιήσεις σε προγραμματιζόμενα ολοκληρωμένα προηγούμενης γενιάς, τα βήματα που χρειάζεται να κάνει ο σχεδιαστής είναι τα σημειούμενα με πράσινο. Όπως θα δούμε παρακάτω, χρησιμοποιώντας τελευταίας γενιάς εργαλεία και περιγραφές σε γλώσσα περιγραφής υλικού, ο ρόλος του σχεδιαστή μπορεί να περιοριστεί στα όσα σημειώνονται με πορτοκαλί. H αυτοματοποίηση όσων αναφέρονται με πορτοκαλί αποτελούν αντικείμενο συνεχιζόμενης έρευνας στον τομέα του high level synthesis.

128 Παράδειγμα 1. Σχεδίαση με δεδομένο STD Σχεδιάστε μια μηχανή που υλοποιεί το ακόλουθο STD Oπως προκύπτει από το STD υπάρχουν 4 (μη ελαχιστοποιήσιμες) καταστάσεις, άρα 2 FFs, έστω Α και Β. Κατασκευάζω τον STT.

129 Παράδειγμα 2-2. Κατασκευάζουμε τον πίνακα διέγερσης του κυκλώματος στοχεύοντας υλοποίηση με JK FFs και συνεπώς λαμβάνοντας υπ όψιν μας και το πίνακα διέγερσης ενός JK FF.

130 Παράδειγμα 2-3. To στοχευόμενο συνεπώς σύστημα θα έχει τη μορφή : Απομένει να απλοποιήσουμε τις συναρτήσεις για τα JA, KA, JB και ΚΒ

131 Παράδειγμα 2-4. Η υλοποίηση αυτών των συναρτήσεων μας δίνει το παρακάτω κύκλωμα :

132

133 ΠΡΟΗΓ. ΕΠΟΜ. ΕΙΣΟΔΟΙ Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K

134 ΠΡΟΗΓ. ΕΠΟΜ. ΕΙΣΟΔΟΙ Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K X 0 X 1 X X 1 X X X X 0 1 X X X 1 X X 0 0 X 1 X X 1 0 X X X 1 X 1 0 X X 1 X 1 X 1

135 ΠΡΟΗΓ. ΕΠΟΜ. ΕΙΣΟΔΟΙ Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K X 0 X 1 X X 1 X X X X 0 1 X X X 1 X X 0 0 X 1 X X 1 0 X X X 1 X 1 0 X X 1 X 1 X 1 Q1Q0 Q2 0 1 J X X X X Q1Q0 Q2 0 1 K X X X X Q1Q0 Q2 0 1 J X X 0 0 X X Q1Q0 Q2 0 1 K X X 1 0 X X 1 1 Q1Q0 Q2 0 1 J X X 1 1 X X 0

136 ΠΡΟΗΓ. ΕΠΟΜ. ΕΙΣΟΔΟΙ Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K X 0 X 1 X X 1 X X X X 0 1 X X X 1 X X 0 0 X 1 X X 1 0 X X X 1 X 1 0 X X 1 X 1 X 1 Q1Q0 Q2 0 1 J X X X X Q1Q0 Q2 0 1 K X X X X Q1Q0 Q2 0 1 J X X 0 0 X X Q1Q0 Q X X 1 0 X X 1 1 Q1Q0 Q2 J X X X X 0 K1 J2=Q1Q0 K2=Q0+Q1 J1=Q2 Q0 J0=Q2 +Q1 K0=1 =(Q2Q1) K1=Q2+Q0

137 5V FF2 FF1 FF0 ~1PR ~1PR ~1PR J2 1J Q2 1Q J1 1J Q1 1Q J0 1J Q0 1Q 1CLK 1CLK 1CLK K2 1K ~1Q Q2 1K K1 ~1Q Q1 1K K0 ~1Q Q0 ~1CLR ~1CLR ~1CLR 5V J2=Q1Q0 J1=Q2 Q0 K2=Q0+Q1 K1=Q2+Q0 J0=Q2 +Q1 K0=1 =(Q2Q1)

138 Παράδειγμα 3. Σχεδίαση με RS FF και δεδομένο STT Δεδομένου ενός STT και με δεδομένες τις κωδικοποιήσεις καταστάσεων, το μόνο που χρειάζεται να κάνουμε είναι να λάβουμε υπ όψιν μας το πίνακα διέγερσης του RS FF Προσοχή ότι στον STT υπάρχουν επιπλέον αχρησιμοποίητες καταστάσεις

139 Παράδειγμα 3-2 Ακολουθεί η απλοποίηση συναρτήσεων. Ο μεγάλος αριθμός αδιάφορων όρων διευκολύνει αρκετά την εκτενή απλοποίηση.

140 Και φυσικά η υλοποίηση του κυκλώματος : Παράδειγμα 3-3

141 Παράδειγμα 4 : Πιο δύσκολη η λεκτική περιγραφή!!! Σχεδιάστε ένα σύγχρονο ακολουθιακό κύκλωμα με δύο εισόδους Χ και Y και μία έξοδο Ζ. Η έξοδος θα πρέπει να είναι στο 1 αν ο αριθμός των 1 στις Χ και Υ από την αρχή του χρόνου είναι πολλαπλάσιο του 4. Σε κάθε άλλη περίπτωση η έξοδος είναι 0. Κωδικοποίηση κατά Gray!

142 Απλοποίηση με χάρτες Karnaugh Παράδειγμα 4-2

143 Παράδειγμα 5 : String Recognizer!!! Σχεδιάστε ένα σύγχρονο ακολουθιακό κύκλωμα που ανιχνεύει μια ακολουθία από τρία ή περισσότερα 1 στη σειριακή είσοδό του.

144 Υλοποίηση : Παράδειγμα 5-2

145 ΜΕΡΟΣ ΙII ΕΦΑΡΜΟΓΕΣ ΤΩΝ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΚΟΛΟΥΘΙΑΚΑ MSI

146 Κλυδωνισμός διακοπτών (switch bouncing) Πολύ συνηθισμένη εφαρμογή των απλών δισταθών κυκλωμάτων και των latches Aς υποθέσουμε το ακόλουθο σχηματικό για ένα μονοπολικό διακόπτη μιας επαφής Η pull-up αντίσταση έχει σημαντικά μεγάλη τιμή. Όταν ο διακόπτης δεν είναι πατημένος η γραμμή SW_L βρίσκεται σε ψηλό δυναμικό και η έξοδος στο 0 Όταν πατηθεί ο διακόπτης η SW_L αποφορτίζεται μέσω του μονοπατιού χαμηλής αντίστασης. Πρόβλημα : Όταν πατηθεί ο διακόπτης δε μένει σταθερά σε αυτή τη θέση αλλά αναπηδά (κλυδωνίζεται) μέχρι να σταθεροποιηθεί τελικά.

147 Κλυδωνισμός διακοπτών (switch bouncing) - 2 Για κάθε πάτημα του διακόπτη υπάρχουν αρκετές μεταβάσεις Η περίοδος του κλυδωνισμού είναι ms. O κλυδωνισμός μπορεί να είναι ανεπιθύμητος (π.χ. ψηφιακά χρονόμετρα). Αρα απαιτείται κάποιο κύκλωμα για τον αποκλυδωνισμό Το κύκλωμα αυτό πρέπει να θυμάται ότι ο διακόπτης έκλεισε έστω και στιγμιαία. Συνεπώς ψάχνουμε ένα ακολουθιακό κύκλωμα.

148 Αποκλυδωνισμός διακοπτών (debouncing) Oταν ο διακόπτης είναι στο 1 έχουμε την κάτω έξοδο στο 1 και 0 στο OUT. Γύρισμα του διακόπτη στο 2 θα δώσει 0 στην είσοδο της πάνω ΝΑΝD με αποτέλεσμα η έξοδος να πάει στο 1 και η εφαρμογή δύο 1 στην κάτω NAND να "κλειδώσει" αυτή τη κατάσταση στο μανδαλωτή. Η έξοδος ΟUT θα πάει στο 1 ανεξάρτητα από τις αναπηδήσεις του διακόπτη στη θέση 2. Η έξοδος μπορεί να γίνει πάλι 0 μόνο αν ο διακόπτης επιστρέψει στο 1.

149 Καταχωρητές Καταχωρητής είναι κάθε συλλογή δύο ή περισσοτέρων D στοιχείων με κοινό σήμα ρολογιού. Χρησιμοποιούνται κατά κόρο στα σημερινά ψηφιακά συστήματα για την αποθήκευση συλλογών δυαδικών ψηφίων που συνδέονται μεταξύ τους, όπως για παράδειγμα ενός byte δεδομένων. Ενας καταχωρητής n-δυαδικών ψηφίων έχει n DFFs. Πέρα από τη καταχώρηση των ψηφίων στα FFs, ένας καταχωρητής μπορεί να περιλαμβάνει έναν αριθμό από συνδυαστικές πύλες που υλοποιούν κάποια επεξεργασία των δεδομένων.

150 Παραδείγματα ολοκληρωμένων καταχωρητών Το είναι ένας καταχωρητής 4 δυαδικών ψηφίων. Υπάρχει μια κοινή είσοδος ρολογιού και μια κοινή είσοδος ασύγχρονου καθαρισμού. Το κύκλωμα παρέχει για κάθε flip flop τόσο το Q όσο και το ~Q. To είναι ένας εντελώς αντίστοιχης δομής καταχωρητής 6 δυαδικών ψηφίων στον οποίο όμως δε παρέχονται τα ~Qs.

151 Καταχωρητές με επίτρεψη εξόδου Ο καταχωρητής ενεργοποιείται με την ανοδική ακμή του ρολογιού. Όταν η κοινή γραμμή ΟΕ_L είναι στο λογικό 1 τότε όλοι οι buffers τριών καταστάσεων οδηγούνται στην κατάσταση υψηλής εμπέδησης.

152 Κι άλλα ολοκληρωμένα κυκλώματα : Αντίστοιχο του μόνο που αντί για D FF το ολοκληρωμένο διαθέτει 8 D latches Oι έξοδοί του ακολουθούν τις αντίστοιχες εισόδους καθ όλη τη διάρκεια που το C είναι ενεργό : Αντίστοιχο του 74175, δηλαδή διαθέτει ασύγχρονη είσοδο καθαρισμού, αλλά όχι είσοδο τριών καταστάσεων της εξόδου. Επιπλέον, είναι καταχωρητής των 8 δυαδικών ψηφίων.

153 Κι άλλο ένα! : Διαθέτει είσοδο ελέγχου της φόρτωσης. Όταν ΕΝ_L είναι στο 1, τότε στην είσοδο D κάθε flip flop περνάει η προηγούμενη έξοδός του. Συνεπώς απαγορεύουμε στον καταχωρητή να αλλάξει κατάσταση. Αντίθετα όταν ΕΝ_L είναι στο 0, η φόρτωση γίνεται κανονικά από τις γραμμές δεδομένων με κάθε ανοδική ακμή το ρολογιού.

154 Προβλήματα ρολογιού : πρώτες σκέψεις

155 Καταχωρητές ολίσθησης (shift registers) Στη περίπτωση σειριακής εξόδου, το δεδομένο εισόδου εμφανίζεται στη σειριακή έξοδο ν παλμούς ρολογιού αργότερα. Στην περίπτωση της παράλληλης εξόδου, μπορούμε να μιλάμε για ένα κύκλωμα που πραγματοποιεί serial 2 parallel conversion.

156 Parallel 2 serial shift register Μπορούμε να μιλάμε για ένα κύκλωμα που πραγματοποιεί parallel 2 serial conversion. Mπορούμε να προσθέσουμε και παράλληλη έξοδο, οπότε προκύπτει ένα κύκλωμα ικανό να καλύψει όλες τις εφαρμογές των καταχωρητών ολίσθησης.

157 ΜSI Shift Registers

158 74194 : Καταχωρητής ολίσθησης γενικού σκοπού

159 74299 : Καταχωρητής ολίσθησης γενικού σκοπού 8 δυαδικών ψηφίων

160 Σειριακή μετάδοση

161 Σειριακή πρόσθεση (Θεός φυλάξει)

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Γενικές Γραμμές Ακολουθιακή Λογική Μεταστάθεια S-R RLatch h( (active high h&l low) S-R Latch with Enable Latch Flip-Flop Ασύγχρονοι είσοδοι PRESET

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 27 Νοε-7 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 27 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα:

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops και Μετρητές Ριπής Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

5. Σύγχρονα Ακολουθιακά Κυκλώματα

5. Σύγχρονα Ακολουθιακά Κυκλώματα 5. Σύγχρονα Ακολουθιακά Κυκλώματα Ακολουθιακό (sequential) λέμε το σύστημα που περιέχει στοιχεία μνήμης, δηλ. κυκλώματα αποθήκευσης δυαδικής πληροφορίας Γενικό διάγραμμα ακολουθιακού κυκλώματος - Αποτελείται

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Ακολουθιακά Κυκλώματα (συν.) Κυκλώματα που Κυκλώματα που αποθηκεύουν εξετάσαμε μέχρι τώρα πληροφορίες Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΑΣΚΗΣΗ 7 FLIP - FLOP ΑΣΚΗΣΗ 7 FLIP - FLOP Αντικείμενο της άσκησης: Η κατανόηση της δομής και λειτουργίας των Flip Flop. Flip - Flop Τα Flip Flop είναι δισταθή λογικά κυκλώματα με χαρακτηριστικά μνήμης και είναι τα πλέον βασικά

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης Ψηφιακά Συστήματα 7. Κυκλώματα Μνήμης Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ FLIP-FLOP ΤΟ ΒΑΣΙΚΟ FLIP-FLOP ΧΡΟΝΙΖΟΜΕΝΑ FF ΤΥΠΟΥ FF ΤΥΠΟΥ D FLIP-FLOP Τ FLIP-FLOP ΠΥΡΟΔΟΤΗΣΗ ΤΩΝ FLIP-FLOP ΚΥΡΙΟ - ΕΞΑΡΤΗΜΕΝΟ FLIP-FLOP ΑΚΜΟΠΥΡΟΔΟΤΟΥΜΕΝΑ FLIP-FLOP ΚΥΚΛΩΜΑΤΑ

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 28 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων Ψηφιακή Σχεδίαση Κεφάλαιο 5: Σύγχρονη Ακολουθιακή Λογική Σύγχρονα Ακολουθιακά Κυκλώµατα Είσοδοι Συνδυαστικό κύκλωµα

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009.

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009. ΗΜΥ-20: Σχεδιασμός Ψηφιακών Συστημάτων Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία Κ. Μιχαήλ Ακολουθιακά Κυκλώματα Συνδυαστική Λογική: Η τιμή σε μία έξοδο εξαρτάται

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστηµάτων

Σχεδίαση Ψηφιακών Συστηµάτων Σχεδίαση Ψηφιακών Συστηµάτων Πανεπιστήμιο Δυτικής Αττικής Τμήμα Μηχανικών Πληροφορικής και Υπολογιστών Γιάννης Βογιατζής Πάνος Καρκαζής 27-28 Παρουσίαση 4 η : Ψηφιακή Σχεδίαση Μέρος 3 Ανάλυση και Σχεδίαση

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 8 η :

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα K24 Ψηφιακά Ηλεκτρονικά : TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 2 3 Γενικά Όπως είδαμε και σε προηγούμενα μαθήματα, ένα ψηφιακό κύκλωμα ονομάζεται

Διαβάστε περισσότερα

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 6-i: Ακολουθιακά Κυκλώµατα Μανδαλωτές (Latches) και Flip-Flops Ακολουθιακά Κυκλώµατα Συνδυαστική Λογική:

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 8: Μανδαλωτές SR, S R D Flip-Flops Αφέντη Σκλάβου, Σχεδιασμός Ακολουθιακών κυκλωμάτων, Πίνακας Καταστάσεων, Διάγραμμα Καταστάσεων

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 28 Νοε-8 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Ανάλυση Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q.

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q. 8. ΣΟΙΧΕΙΑ ΜΝΗΜΗΣ 8. Εισαγωγή Στα συνδυαστικά κυκλώματα, που μελετήσαμε έως τώρα, δεν υπήρχε κάποια διαδικασία ανάδρασης (Feed Back) -δηλαδή οδήγηση της εξόδου των στοιχείων στην είσοδό τους- επομένως

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Βασικές αρχές Σχεδίαση Latches και flip-flops Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 Ακολουθιακή

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) Αντικείμενο της άσκησης: H σχεδίαση και η χρήση ασύγχρονων απαριθμητών γεγονότων. Με τον όρο απαριθμητές ή μετρητές εννοούμε ένα ακολουθιακό κύκλωμα με FF, οι καταστάσεις

Διαβάστε περισσότερα

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE Πανεπιστήµιο Κύπρου DEPARTMENT OF OMPUTER SIENE S 121 Ψηφιακά Εργαστήρια LAB EXERISE 4 Sequential Logic Χρίστος ιονυσίου Σωτήρης ηµητριάδης Άνοιξη 2002 Εργαστήριο 4 Sequential ircuits A. Στόχοι Ο σκοπός

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 12: Ανάλυση Ακολουθιακών Κυκλωµάτων (Κεφάλαιο 6.2) Μηχανές Καταστάσεων ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy)

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ Σύγχρονο ακολουθιακό κύκλωμα είναι εκείνο του οποίου όλα τα FFs χρονίζονταιμετοίδιο ρολόι (clock). Ανάλυση Σύγχρονων Ακολουθιακών Κυκλωμάτων Σχεδίαση Σύγχρονων Ακολουθιακών

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν. ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 ii: Μετρητές Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Μετρητής Ριπής Περίληψη Σύγχρονος υαδικός Μετρητής Σχεδιασµός µε Flip-Flops

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΓΧΡΟΝΗ ΑΚΟΛΟΥΘΙΑΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Ακολουθιακά κυκλώματα Η πλειονότητα των ψηφιακών συσκευών (τηλέφωνα, δέκτες GPS, φωτογραφικές μηχανές, υπολογιστές κ.α.),

Διαβάστε περισσότερα

Ακολουθιακά Κυκλώματα Flip-Flops

Ακολουθιακά Κυκλώματα Flip-Flops Ακολουθιακά Κυκλώματα Flip-Flops . Συνδυαστικα κυκλωματα Ακολουθιακα κυκλωματα x x 2 x n Συνδυαστικο κυκλωμα z z 2 z m z i =f i (x,x 2,,x n ) i =,2,,m 2. Ακολουθιακα κυκλωματα: x n Συνδυαστικο m z y κυκλωμα

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Σύγχρονα ακολουθιακά κυκλώματα. URL:

Σύγχρονα ακολουθιακά κυκλώματα.   URL: DeÔtero Ex mhno FoÐthshc Σύγχρονα ακολουθιακά κυκλώματα Ge rgioc. Alexandrìpouloc Lèktorac P.D. 47/8 e-mail: alexandg@uop.gr URL: http://users.iit.demokritos.gr/~alexandg Tm ma Epist mhc kai TeqnologÐac

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Μετρητής Ριπής q Σύγχρονος

Διαβάστε περισσότερα

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Ακολουθιακή Λογική Κεφάλαιο 7 ο Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταστάθεια 2. Μανδαλωτές 3. Flip Flops Flops 4. Δομές διοχέτευσης 5. Διανομή ρολογιού 6. Συγχρονισμός

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Καθιερωµένα Γραφικά Σύµβολα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Καθιερωµένα Γραφικά Σύµβολα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005 ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Απρ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 6 ii: Ανάλυση Ακολουθιακών Κυκλωµάτων Περίληψη Καθιερωµένα Γραφικά Σύµβολα Χαρακτηριστικοί Πίνακες

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Ανάλυση Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Ανάλυση Ακολουθιακών Κυκλωμάτων Ανάλυση: Ο καθορισμός μιας κατάλληλης περιγραφής η οποία επιδεικνύει

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Τα βασικά της

Διαβάστε περισσότερα

f(x, y, z) = y z + xz

f(x, y, z) = y z + xz Λύσεις θεμάτων Εξεταστικής Περιόδου Ιανουαρίου Φεβρουαρίου 27 ΘΕΜΑ Ο (2, μονάδες) Δίνεται η λογική συνάρτηση : f (, y, z ) = ( + y )(y + z ) + y z. Να συμπληρωθεί ο πίνακας αλήθειας της συνάρτησης. (,

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ Μάθημα 5: Στοιχεία µνήµης ενός ψηφίου Διδάσκων: Καθηγητής Ν. Φακωτάκης Στοιχεία μνήμης Ένα ψηφιακό λογικό κύκλωμα

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Αρχιτεκτονικές Υπολογιστών

Αρχιτεκτονικές Υπολογιστών ΑΡΧΙΤΕΚΤΟΝΙΚΕΣ ΥΠΟΛΟΓΙΣΤΩΝ Μάθηµα: Αρχιτεκτονικές Υπολογιστών FLIP-FLOPS ΣΥΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΙΑ ΙΚΑΣΙΑ ΑΝΑΛΥΣΗΣ ΚΑΙ ΣΧΕ ΙΑΣΗ ΣΑΚ ιδάσκων: Αναπλ. Καθ. Κ. Λαµπρινουδάκης clam@uipi.gr Αρχιτεκτονικές

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΚΗΣΗ ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Στόχος της άσκησης: Η διαδικασία σχεδίασης σύγχρονων ακολουθιακών κυκλωμάτων. Χαρακτηριστικό παράδειγμα σύγχρονων ακολουθιακών κυκλωμάτων είναι οι σύγχρονοι μετρητές. Τις αδυναμίες

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΛΑΜΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ. Τμήμα Ηλεκτρονικής. Πτυχιακή Εργασία

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΛΑΜΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ. Τμήμα Ηλεκτρονικής. Πτυχιακή Εργασία ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΛΑΜΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ Τμήμα Ηλεκτρονικής Πτυχιακή Εργασία Υλοποίηση σύγχρονων ακολουθιακών κυκλωμάτων σε VHDL για FPGAs/CPLDs και ανάλυση χρονισμών για εύρεση

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Ενότητα 1. Λογικής Σχεδίασης. Καθηγητής Αντώνης Πασχάλης

ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Ενότητα 1. Λογικής Σχεδίασης. Καθηγητής Αντώνης Πασχάλης ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHL ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Ενότητα 1 Αρχές και Πρακτικές Ακολουθιακής Λογικής Σχεδίασης Καθηγητής Αντώνης Πασχάλης 217 Γενικές

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 11: Ακολουθιακά Κυκλώµατα (Κεφάλαιο 5, 6.1, 6.3, 6.4) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Ακολουθιακά

Διαβάστε περισσότερα

Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter)

Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter) ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter) ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ, Θεωρητικής Κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

C D C D C D C D A B

C D C D C D C D A B Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Ψηφιακής Σχεδίασης ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ Εργαστήριο Ψηφιακής Σχεδίασης 8 Εργαστηριακές Ασκήσεις Χρ. Καβουσιανός Επίκουρος Καθηγητής 2014 Εργαστηριακές Ασκήσεις Ψηφιακής Σχεδίασης 2 Εργαστηριακές Ασκήσεις

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ Θεωρητικό

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

Ακολουθιακά κυκλώματα: Μανδαλωτές και Flip-Flop. Διάλεξη 6

Ακολουθιακά κυκλώματα: Μανδαλωτές και Flip-Flop. Διάλεξη 6 Ακολουθιακά κυκλώματα: Μανδαλωτές και Flip-Flop Διάλεξη 6 Δομή της διάλεξης Εισαγωγή στην ακολουθιακή λογική Ομανδαλωτής SR Latch JK Flip-Flop D Flip-Flop Timing Definitions Latch vs Flip-Flop Ασκήσεις

Διαβάστε περισσότερα

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Ακολουθιακή Λογική Κεφάλαιο 10 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταευστάθεια 2. Μανδαλωτές 3. Flip

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21 Περιεχόµενα Πρόλογος 11 Σκοπός αυτού του βιβλίου 11 Σε ποιους απευθύνεται αυτό το βιβλίο 12 Βασικά χαρακτηριστικά του βιβλίου 12 Κάλυψη συστηµάτων CAD 14 Εργαστηριακή υποστήριξη 14 Συνοπτική παρουσίαση

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 8. Καταχωρητές

Ψηφιακά Συστήματα. 8. Καταχωρητές Ψηφιακά Συστήματα 8. Καταχωρητές Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά

Διαβάστε περισσότερα