Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη"

Transcript

1 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ 3 Μέρος Α (Ι-V, προηγούμενο εργαστήριο λογισμικού) Βεβαιωθείτε ότι οι υπεύθυνοι του εργαστηρίου έχουν πρώτα εγκρίνει τους σχεδιασμούς σας από την προηγούμενη εβδομάδα (διαγράμματα και προσομοιώσεις) πριν προχωρήσετε στην υλοποίηση. Όλα τα κομμάτια του Μέρους Α ΠΡΕΠΕΙ να συμπληρωθούν πριν την έναρξη αυτού του εργαστηρίου, για να μπορείτε να προχωρήσετε στο Μέρος Β.Ι. Μέρος Β.Ι. Ο σκοπός αυτής της εργαστηριακής άσκησης είναι η πειραματική επαλήθευση της λειτουργίας του α- θροιστή/αφαιρέτη των 4 ων bit που προσομοιώθηκε στο μέρος Α.IV. Οι δύο 4-bit αριθμοί θα εισάγονται από τους διακόπτες SW0-SW7 που είναι άμεσα συνδεδεμένοι με το CYCLONE II, μέσω συγκεκριμένων pins (βλέπε εγχειρίδιο της Altera DE1). Η επιλογή μεταξύ πρόσθεσης και αφαίρεσης θα γίνεται μ ένα διακόπτη πίεσης (push-button switch), ο οποίος είναι αρνητικής λογικής. Στην είσοδο SUB θα μπει ένας αντιστροφέας, έτσι που το κύκλωμα να λειτουργεί σαν αφαιρέτης όταν το κουμπί είναι πατημένο, και σαν αθροιστής όταν δεν είναι πατημένο. Η έξοδος θα εμφανίζεται για έλεγχο σ ένα SSD (Seven-Segment Display), που είναι συνδεδεμένο στο ολοκληρωμένο. Για να μπορούμε να βλέπουμε το δεκαεξαδικό ισοδύναμο του αριθμού, πρέπει να γίνεται μετατροπή από δυαδικό κώδικα σε κώδικα SSD. Αυτό θα γίνεται με τη χρήση ενός αποκωδικοποιητή από δεκαεξαδικό-σε-ssd (Hex to Seven-Segment Display decoder) (όπου τα 4 bit δυαδικό θεωρούνται ως ένα δεκαεξαδικό ψηφίο). Πιθανό κρατούμενο εξόδου Cout (1-bit) θα παρουσιάζεται στο πρώτο κόκκινο LED στα δεξιά. 1. Ανοίξετε το project lab3.qpf που έχετε αποθηκεύσει από το προηγούμενο εργαστήριο (λογισμικού), επιλέγοντας File Open Project, ή κάνοντας διπλό κλικ στο αρχείο στον φάκελο σας. 2. Ανοίξετε (στο ίδιο project) το σχηματικό lab3_fas4.bdf στον Graphic Editor, επιλέγοντας File Open. 3. Τοποθετήστε μια πύλη not στην είσοδο SUB. 4. Επιλέξτε Assignments Settings και μετά την κάρτα Libraries. Προσθέστε τον κατάλογο DE1core library functions. Ο κατάλογος βρίσκεται στην ιστοσελίδα του μαθήματος και πρέπει να τον αντιγράψετε στο φάκελο που περιέχει τον σχεδιασμό σας (περισσότερες πληροφορίες από τους υπεύθυνους του εργαστηρίου). Ο αποκωδικοποιητής Ηex to Seven-Segment Display (μαζί με άλλες χρήσιμες υλοποιήσεις για την πλακέτα DE1) βρίσκεται σε αυτόν τον κατάλογο. Μετατρέποντας τον κατάλογο σε μια βιβλιοθήκη χρήστη (user library), μπορείτε να εισάγετε οποιεσδήποτε από αυτές τις έτοιμες υλοποιήσεις μέσω του παραθύρου διαλόγου Symbol, όπως κάνετε και για απλές πύλες στους υπό δημιουργία σχεδιασμούς σας. 5. Εισαγάγετε ένα σύμβολο dec_7seg στον σχεδιασμό σας από τον πιο πάνω κατάλογο. Κάντε Double click στο σύμβολο για να δείτε την περιγραφή του σε γλώσσα περιγραφής υλικού (VHDL). 1

2 ΗΜΥ 211 Εργαστήριο Υλικού 3 6. Διαγράψετε την έξοδο Z[3..0], και συνδέστε τους ασύνδετους κόμβους (σε μορφή δίαυλου) στην είσοδο του συμβόλου dec_7seg (η οποία, επίσης, είναι σε μορφή δίαυλου). Σημαντικό: Επειδή έχετε διαγράψει τη βασική θύρα εξόδου, θα πρέπει τώρα να ονομάσετε τον δίαυλο (διάνυσμα κόμβων) στην είσοδο του dec_7seg ως Z[3..0], ούτως ώστε οι κόμβοι Ζ[0], Ζ[1], Ζ[2] και Ζ[3] να συνεχίσουν να συνδέονται στις εξόδους των συμβόλων του lab3_fas (δηλαδή, στην έξοδο του κάθε πλήρη αθροιστή/αφαιρέτη 1-bit). 7. Εισαγάγετε 7 νέες θύρες εξόδου. Ονομάστε τις με τη σειρά, με τα γράμματα από a έως g. 8. Συνδέστε με καλώδιο τις εξόδους του dec_7seg με τις αντίστοιχες θύρες εξόδου. 9. Επιλέξετε Project Set as Top-Level Entity και μεταφράστε τον σχεδιασμό σας. 10. Επιλέξτε Assignments Pins για να αναθέσετε τα κατάλληλα pins στις εισόδους και εξόδους του κυκλώματος, σύμφωνα με τις ακόλουθες οδηγίες. (Για να βρείτε τα ονόματα των pins ανατρέξετε στο εγχειρίδιο της Altera DE1. Για περισσότερες πληροφορίες για το πώς να αναθέσετε τα κατάλληλα pins, και για άλλα θέματα, ανατρέξετε στα εργαστήρια 1 και 2.) 11. Αναθέστε τον πρώτο διακόπτη πίεσης από τ αριστερά, KEY3, στην είσοδο SUB. 12. Αναθέστε τους 4 διακόπτες SW7 έως SW4 στις εισόδους X[3] έως X[0], αντίστοιχα. 13. Αναθέστε τους 4 διακόπτες SW3 έως SW0 στις εισόδους Y[3] έως Y[0], αντίστοιχα. 14. Αναθέστε το πρώτο κόκκινο LED από τα δεξιά, LEDR0, στην έξοδο Cout. 15. Αναθέστε τα 7 pins (0-6) του πρώτου SSD από τα δεξιά, HEX0, στις εξόδους a έως g, αντίστοιχα. (Ανατρέξετε στις σελίδες 30 και 31 του εγχειριδίου της Altera DE1.) 16. Αποθηκεύστε και μεταφράστε τον σχεδιασμό σας, μετά την ανάθεση των pins. Κρατήστε μια εκτύπωση του (με το όνομα και τον αριθμό ταυτότητας σας, και τη μέρα εργαστηρίου). 17. Βεβαιωθείτε ότι η πλακέτα DE1 είναι συνδεδεμένη στο ρεύμα και πατήστε το κόκκινο κουμπί (Power ON/OFF Switch) για να εκκινήσει. Βεβαιωθείτε ότι το RUN/PROG switch (βλέπε σελίδα 4 του εγχειριδίου) είναι στη θέση RUN. 18. Προγραμματισμός πλακέτας DE1: (α) Ανοίξτε την εφαρμογή Tools Programmer. (β) Επιλέξτε Hardware Setup πάνω αριστερά στο παράθυρο του Programmer. (γ) Επιλέξτε USB-Blaster [USB-0] και μετά πατήστε Close. Βεβαιωθείτε, επίσης, ότι στο πεδίο Mode έχει επιλεγεί το JTAG. Αν όχι, επιλέξτε το. 19. Πατήστε Start στο παράθυρο του Programmer για να κατεβάσετε τον μεταφρασμένο σχεδιασμό σας στην πλακέτα. Η διαδικασία αυτή θα πάρει μόνο μερικά δευτερόλεπτα. 20. Ελέγξετε την ορθότητα της λειτουργίας του αθροιστή/αφαιρέτη για διάφορες τιμές εισόδου στην πλακέτα. (Επίδειξη σε έναν από τους υπεύθυνους του μαθήματος απαραίτητη πριν προχωρήσετε στο επόμενο μέρος.) 21. Σημειώστε και σχολιάστε οποιεσδήποτε δυσκολίες έχετε συναντήσει με τη χρήση της πλακέτα, και περιγράψετε τα βήματα που ακολουθήσατε για να τις αντιμετωπίσετε. (Κλείστε όλα τα παράθυρα σχεδιασμού, κτλ., αλλά μην βγείτε από το Quartus II.) 2

3 ΗΜΥ 211 Εργαστήριο Υλικού 3 Μέρος Β.ΙΙ. Σε αυτό το μέρος θα επαληθεύσετε τη λειτουργία του πολλαπλασιαστή 4-bit x 2-bit, που σχεδιάσατε και προσομοιώσατε στο μέρος A.V. Οι δύο αριθμοί, 4 ων και 2 bit, θα εισάγονται από τους διακόπτες SW0- SW5, που είναι άμεσα συνδεδεμένοι με το CYCLONE II μέσω συγκεκριμένων pins, ενώ το γινόμενο θα εμφανίζεται για έλεγχο σε δύο SSDs (Seven-Segment Displays). Όπως και προηγουμένως, για να μπορούμε να βλέπουμε το δεκαεξαδικό ισοδύναμο ενός αριθμού, πρέπει να γίνεται μετατροπή από δυαδικό κώδικα σε κώδικα SSD, με τη χρήση ενός αποκωδικοποιητή από δεκαεξαδικό-σε-ssd (Hex to Seven- Segment Display decoder). Τα τέσσερα λιγότερο σημαντικά ψηφία του γινομένου P[5..0] θα μετατρέπονται στο λιγότερο σημαντικό δεκαεξαδικό ψηφίο, που θα εμφανίζεται στο πρώτο από τα δεξιά SSD. Τα επόμενα δύο, πιο σημαντικά, δυαδικά ψηφία του γινομένου, μαζί με δύο γειωμένα ψηφία (καλώδια), θα μετατρέπονται στο επόμενο δεκαεξαδικό ψηφίο, που θα εμφανίζεται στο δεύτερο από τα δεξιά SSD. 1. Ανοίξετε (στο ίδιο με προηγουμένως project) το σχηματικό lab3_mul.bdf στον Graphic Editor, επιλέγοντας File Open. 2. Εισαγάγετε δύο σύμβολο dec_7seg στον σχεδιασμό σας από τον κατάλογο DE1core library functions, με τον ίδιο τρόπο όπως και στο προηγούμενο μέρος. 3. Διαγράψετε την έξοδο του σχεδιασμού, P[5..0]. Συνδέστε τους τέσσερεις λιγότερο σημαντικούς ασύνδετους κόμβους του γινομένου (σε μορφή δίαυλου, P[3..0]) στην είσοδο του πρώτου συμβόλου dec_7seg, όπως κάνατε στο βήμα 6 στην προηγούμενη σελίδα. Συνδέστε τα επόμενα δύο πιο σημαντικά ψηφία του γινομένου, P[5..4], μαζί με δύο γειωμένα καλώδια, στην είσοδο του δεύτερου συμβόλου dec_7seg. Τα γειωμένα καλώδια θα είναι τα δύο πιο σημαντικά ψηφία, και άρα ο δίαυλος (διάνυσμα κόμβων) στην είσοδο του δεύτερου dec_7seg θα έχει το όνομα GND, GND, P[5..4] όπου GND θα είναι το όνομα ενός μονού καλωδίου ενωμένο στη γείωση (δηλαδή, στο σύμβολο gnd). 4. Εισαγάγετε 14 νέες θύρες εξόδου. Ονομάστε τις πρώτες εφτά θύρες a0, b0,... έως g0, και τις επόμενες εφτά a1, b1,... έως g1. 5. Συνδέστε με καλώδιο τις εξόδους του πρώτου dec_7seg με τις θύρες εξόδου a0 έως g0. Συνδέστε τις εξόδους του δεύτερου dec_7seg με τις θύρες εξόδου a1 έως g1. 6. Επιλέξετε Project Set as Top-Level Entity και μεταφράστε τον σχεδιασμό σας. 7. Επιλέξτε Assignments Pins για να αναθέσετε τα κατάλληλα pins στις εισόδους και εξόδους του κυκλώματος, σύμφωνα με τις ακόλουθες οδηγίες. 8. Αναθέστε τους διακόπτες SW5 έως SW2 στις εισόδους A[3] έως A[0], αντίστοιχα. Αναθέστε τους διακόπτες SW1 και SW0 στις εισόδους Β[1] και Β[0], αντίστοιχα. 9. Αναθέστε τα 7 pins (0-6) του πρώτου SSD από τα δεξιά, HEX0, στις εξόδους a0 έως g0, αντίστοιχα. Αναθέστε τα 7 pins του δεύτερου SSD από τα δεξιά, HEX1, στις εξόδους a1 έως g1, αντίστοιχα. (Ανατρέξετε στις σελίδες 30 και 31 του εγχειριδίου της Altera DE1.) 10. Αποθηκεύστε και μεταφράστε τον σχεδιασμό σας, μετά την ανάθεση των pins. Κρατήστε μια εκτύπωση του (με το όνομα και τον αριθμό ταυτότητας σας, και τη μέρα εργαστηρίου). 11. Αφού εκκινήσετε την πλακέτα DE1, κατεβάστε σ αυτήν τον μεταφρασμένο σχεδιασμό σας χρησιμοποιώντας την εφαρμογή Tools Programmer. 12. Ελέγξετε την ορθότητα της λειτουργίας του πολλαπλασιαστή για διάφορες τιμές εισόδου στην πλακέτα. (Επίδειξη σε έναν από τους υπεύθυνους του μαθήματος απαραίτητη.) 3

4 ΗΜΥ 211 Εργαστήριο Υλικού 3 Μέρος Β.ΙΙΙ. Σκοπός αυτής της άσκησης είναι να επαληθεύσετε πειραματικά τη λειτουργία του πλήρη αθροιστή 1-bit που φτιάξατε και με τις δύο εκδοχές, τόσο με τους πολυπλέκτες, όσο και με τον αποκωδικοποιητή, και τις οποίες έχετε προσομοιώσει στο Μέρος Α. Χρησιμοποιείστε το σχηματικό στον Graphic Editor για καθοδήγηση στο πώς να ενώσετε τα διάφορα στοιχεία μεταξύ τους. Ετοιμάστε μια εικόνα του διαγράμματος των στοιχείων, με τις κατάλληλες συνδέσεις καλωδίων, χρησιμοποιώντας τα σύμβολα που βλέπετε στις σελίδες 4 και 5 του Εργαστηρίου Λογισμικού 3, και πιο κάτω στην παρούσα σελίδα. (Βλέπετε επίσης την επόμενη σελίδα.) 1. Εισαγάγετε τα ακόλουθα ολοκληρωμένα στην πλακέτα (breadboard): 74LS153 διπλός (dual) πολυπλέκτης 4-σε-1, 74LS138 αποκωδικοποιητής 3-σε-8, 74LS04 hex inverter, και το 74LS20 δύο NAND 4 ων εισόδων. 2. Σχεδιάστε στην επόμενη σελίδα την εικόνα της συνδεσμολογίας για τις δύο διαφορετικές υλοποιήσεις πλήρη αθροιστή 1-bit που έχετε κάνει στο μέρος Α. Χρησιμοποιήστε τους διακόπτες SW2, SW1, και SW0, για τις εισόδους X, Y και Cin, αντίστοιχα, και για τα δύο κυκλώματα. Χρησιμοποιήστε τα LEDs L3, L2, L1, και L0 για να δείξετε τις εξόδους με τη σειρά: Cout_Mux, Cout_Dcd, Sum_Mux και Sum_Dcd. 3. Συνδέστε τα κυκλώματα όπως το διάγραμμα συνδεσμολογίας του βήματος Καθορίστε πειραματικά και καταγράψετε στην τελευταία σελίδα τον πίνακα αληθείας για τις τέσσερεις εξόδους, ως συναρτήσεις των εισόδων των διακοπτών SW2, SW1, και SW0. (Επίδειξη σε έναν από τους υπεύθυνους του μαθήματος απαραίτητη.) 5. Ποια είναι τα σχετικά πλεονεκτήματα και μειονεκτήματα των δύο υλοποιήσεων του α- θροιστή; Πόσα ολοκληρωμένα 74LSΧΧ χρειάζονται για την κάθε υλοποίηση; Λίστα Στοιχείων και Οργάνων A/A Qty Part No Description 1 1 WK-1 Wire Kit LS04 Hex Inverter LS20 Dual 4-Input NAND Gate LS138 3-to-8 Decoder/Demultiplexer LS153 Dual 4-to-1 Multiplexer 6 1 ETS-7000 Digital Analog Training System unit 4

5 Όνομα: Αρ. Ταυτότητας: Ημέρα:

6 Όνομα: Αρ. Ταυτότητας: Ημέρα:

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2007 Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή

Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ 3

Διαβάστε περισσότερα

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής Εισαγωγή ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή

Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2005 Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ 3

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2007 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΕΡΓΑΣΤΗΡΙΑ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153)

Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153) ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153) Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Πλήρης Αθροιστής, Αποκωδικοποιητής και Πολυπλέκτης ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Λύσεις

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

Εισαγωγή στο Εργαστήριο Υλικού

Εισαγωγή στο Εργαστήριο Υλικού ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στο Εργαστήριο Υλικού Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010

ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 ΕΙΣΑΓΩΓΗ ΣΤΟ ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ ΨΗΦΙΑΚΗΣ ΣΧΕΔΙΑΣΗΣ Στόχοι Με την ολοκλήρωση αυτού του εργαστηρίου, θα πρέπει να γνωρίζετε

Διαβάστε περισσότερα

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο εργαστήριο Υλικού Εβδοµάδα: 2 1 Στόχοι Εργαστηρίου Μετην ολοκλήρωση αυτού του εργαστηρίου, θα πρέπει να γνωρίζετε: 1. Τη διαδικασία που ακολουθείται για

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 Χειµερινό 23 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 υαδικός Αθροιστής, Πολυπλέκτες και Αποκωδικοποιητές Εβδοµάδα: 5 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 Χειµερινό 23 Στόχοι

Διαβάστε περισσότερα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2010 Εισαγωγή Προπαρασκευαστική παρουσίαση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Quartus II Στο εργαστήριο

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

Καταχωρητές και Μετρητές

Καταχωρητές και Μετρητές ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ HMY 211-2010 Καταχωρητές και Μετρητές Σχεδιασμός ενός Οικουμενικού Καταχωρητή Ολίσθησης, Μετρητή Δακτυλίου και Μετρητή BCD Εισαγωγή

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http:

Διαβάστε περισσότερα

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ 130 : Ψηφιακή σχεδίαση Βόλος 2015 1 Εισαγωγή Το Multisim είναι ένα ολοκληρωμένο περιβάλλον προσομοίωσης της συμπεριφοράς

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1 ΛΟΓΙΚΕΣ ΠΥΛΕΣ (Α)

ΑΣΚΗΣΗ 1 ΛΟΓΙΚΕΣ ΠΥΛΕΣ (Α) ΑΣΚΗΣΗ 1 ΛΟΓΙΚΕΣ ΠΥΛΕΣ (Α) Αντικείμενο της άσκησης: Η χρήση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων (ΟΚ), η συνδεσμολόγησή τους στην κάρτα εργασίας (bread-board) και η κατανόηση της λογικής συμπεριφοράς των

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Λογισμικό Προσομοίωσης LogiSim καιχρήση KarnaughMaps Διδάσκοντες: Δρ. Αγαθοκλής Παπαδόπουλος & Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών

Διαβάστε περισσότερα

Εγχειρίδιο: Περιεχόμενα

Εγχειρίδιο: Περιεχόμενα Εγχειρίδιο: Περιεχόμενα 01. Πώς να δημιουργήσετε έναν νέο λογαριασμό; 02. Πώς να εισαγάγετε λογαριασμό; 03. Πώς μπορώ να ελέγξω ότι χρησιμοποιώ το σωστό Seed; 04. Πώς να πάρω αντίγραφο ασφαλείας του πορτοφολιού

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα 1. Στόχοι 3

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Εισαγωγή στη VHDL Υλοποίηση στο Quartus ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκοντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών

Διαβάστε περισσότερα

6.1 Θεωρητική εισαγωγή

6.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 6 ΑΠΟΚΩ ΙΚΟΠΟΙΗΤΕΣ ΚΑΙ ΠΟΛΥΠΛΕΚΤΕΣ Σκοπός: Η κατανόηση της λειτουργίας των κυκλωµάτων ψηφιακής πολυπλεξίας και αποκωδικοποίησης και η εξοικείωση µε τους ολοκληρωµένους

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017)

ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017) ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017) Περιγραφή της Άσκησης Ο σκοπός της πρώτης άσκησης είναι κυρίως η εξοικείωση με το περιβάλλον προγραμματισμού του Arduino, γι αυτό και δεν είναι ιδιαίτερα σύνθετη. Αρχικά, θα

Διαβάστε περισσότερα

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο 2011-2012 Διδάσκων: Γιώργος Ζάγγουλος Βοήθημα για το Πρόγραμμα Modelsim-Altera και την χρησιμοποίηση του μέσα από το Quartus για εκτέλεση

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus με αρχείο bdf. Χρονικές καθυστερήσεις. Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εργαστήριο Κυκλωμάτων και Μετρήσεων

Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εργαστήριο Κυκλωμάτων και Μετρήσεων Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εργαστήριο Κυκλωμάτων και Μετρήσεων Εργαστήριο 3 Νόμος του Ohm, Κυκλώματα σε Σειρά και Παράλληλα Λευκωσία, 2010 Εργαστήριο 3 Νόμος

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ Σκοπός της δεύτερης άσκησης είναι αφενός η επανάληψη απαραίτητων γνώσεων από την ύλη του προηγούμενου εξαμήνου και αφετέρου η άμεση εισαγωγή στην υλοποίηση

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΚΗ Ι Εργαστήριο 1 MATLAB ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1. Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave

ΠΛΗΡΟΦΟΡΙΚΗ Ι Εργαστήριο 1 MATLAB ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1. Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1 Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave Περιεχόμενο εργαστηρίου: - Το περιβάλλον ανάπτυξης προγραμμάτων Octave - Διαδικασία ανάπτυξης προγραμμάτων MATLAB - Απλά

Διαβάστε περισσότερα

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2007 Εισαγωγή Προπαρασκευαστική Άσκηση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Max +Plus II Στο εργαστήριο ΗΜΥ

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εργαστήριο Κυκλωμάτων και Μετρήσεων Εργαστήριο 6 Θεώρημα Thevenin Λευκωσία, 2010 Εργαστήριο 6 Θεώρημα Thevenin Σκοπός: Σκοπός

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ 7 ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCODERS )

ΑΣΚΗΣΗ 7 ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ 7 ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCODERS ) ΑΣΚΗΣΗ ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCOERS ).. ΣΚΟΠΟΣ Η κατανόηση των κωδίκων των ψηφίων του δεκαδικού αριθμητικού συστήματος, της λειτουργίας των κωδικοποιητών και των εφαρμογών τους και

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ "ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ" (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ "ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ" (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 Ο σχεδιασμός ιστοσελίδας με τη χρήση του προγράμματος Microsoft Office SharePoint

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2006-2007 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 Ισχύει για προϊόντα από το 2012 και μετά CDE-13xBT & CDE-W235BT & CDA-137BTi Αυτό το εγχειρίδιο περιγράφει τα βήματα που απαιτούνται για την

Διαβάστε περισσότερα

Εγκατάσταση του εκτυπωτή από το CD λογισμικού και τεκμηρίωσης

Εγκατάσταση του εκτυπωτή από το CD λογισμικού και τεκμηρίωσης Σελίδα 1 από 6 Οδηγός σύνδεσης Υποστηριζόμενα λειτουργικά συστήματα Μπορείτε να εγκαταστήσετε το λογισμικό του εκτυπωτή χρησιμοποιώντας το CD λογισμικού στα ακόλουθα λειτουργικά συστήματα: Windows 8.1

Διαβάστε περισσότερα

Διδάσκoντες: Γιώργος Ζάγγουλος και Λάζαρος Ζαχαρία. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διδάσκoντες: Γιώργος Ζάγγουλος και Λάζαρος Ζαχαρία. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartusμε bdfκαι vhdlαρχεία. Σύγκριση των χρονικών καθυστερήσεωνπου προκύπτουν από τους 2 σχεδιασμούς. Διδάσκoντες:

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ARDUINO Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Κανόνες του Εργαστηρίου Ψηφιακών Συστημάτων Βαθμολογία του Εργαστηρίου Υλικά και εξοπλισμός που θα χρησιμοποιηθούν σωστός τρόπος χειρισμού τους και

Κανόνες του Εργαστηρίου Ψηφιακών Συστημάτων Βαθμολογία του Εργαστηρίου Υλικά και εξοπλισμός που θα χρησιμοποιηθούν σωστός τρόπος χειρισμού τους και Κανόνες του Εργαστηρίου Ψηφιακών Συστημάτων Βαθμολογία του Εργαστηρίου Υλικά και εξοπλισμός που θα χρησιμοποιηθούν σωστός τρόπος χειρισμού τους και προβλήματα που μπορεί να συναντηθούν Επιπλέον συμβουλές

Διαβάστε περισσότερα

Καταχωρητές και Μετρητές

Καταχωρητές και Μετρητές HMY 211-2007 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ Καταχωρητές και Μετρητές Σχεδιασμός ενός Οικουμενικού Καταχωρητή Ολισθησης, Μετρητή Δακτυλίου και Μετρητή BCD. Εισαγωγή

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο 28 8//28 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Σχεδιασμός Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα

Διαβάστε περισσότερα

ρομολογητής WiFi N300 (N300R)

ρομολογητής WiFi N300 (N300R) Easy, Reliable & Secure Οδηγός εγκατάστασης ρομολογητής WiFi N300 (N300R) Εμπορικά σήματα Οι επωνυμίες και τα ονόματα προϊόντων είναι εμπορικά σήματα ή σήματα κατατεθέντα των αντίστοιχων κατόχων τους.

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Ακολουθιακή λογική, καταχωρητές και flip-flops Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe Εργασία στα Ψηφιακά Ηλεκτρονικά Συστήματα 2013-2014 Θέμα: Κατασκευή και Ανάλυση Μετρητή 4-bit και Πλήρους Αθροιστή σε περιβάλλον VHDL Ονοματεπώνυμο: Αλέξανδρος Γεώργιος Μουντογιαννάκης Σχολή: Τμήμα Επιστήμης

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ ΨΗΦΙΑΚΗΣ ΣΧΕ ΙΑΣΗΣ. Στόχοι

ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ ΨΗΦΙΑΚΗΣ ΣΧΕ ΙΑΣΗΣ. Στόχοι ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2005 ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ ΨΗΦΙΑΚΗΣ ΣΧΕ ΙΑΣΗΣ Στόχοι Αυτό το εργαστήριο θα σας παρουσιάσει τα χαρακτηριστικά

Διαβάστε περισσότερα

Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartusμε bdfκαι vhdlαρχεία. Σύγκριση των χρονικών καθυστερήσεωνπου προκύπτουν από τους 2 σχεδιασμούς. Διδάσκoντες:

Διαβάστε περισσότερα

Δυαδικό Σύστημα Αρίθμησης

Δυαδικό Σύστημα Αρίθμησης Δυαδικό Σύστημα Αρίθμησης Το δυαδικό σύστημα αρίθμησης χρησιμοποιεί δύο ψηφία. Το 0 και το 1. Τα ψηφία ενός αριθμού στο δυαδικό σύστημα αρίθμησης αντιστοιχίζονται σε δυνάμεις του 2. Μονάδες, δυάδες, τετράδες,

Διαβάστε περισσότερα

Δρομολογητής WiFi N150 (N150R)

Δρομολογητής WiFi N150 (N150R) Easy, Reliable & Secure Οδηγός εγκατάστασης Δρομολογητής WiFi N150 (N150R) Εμπορικά σήματα Οι επωνυμίες και τα ονόματα προϊόντων είναι εμπορικά σήματα ή σήματα κατατεθέντα των αντίστοιχων κατόχων τους.

Διαβάστε περισσότερα

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων.

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων. ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων. Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων. Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 Ο σχεδιασμός ιστοσελίδας με τη χρήση του προγράμματος Microsoft Office SharePoint

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Εργαστηριακές Ασκήσεις

ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Εργαστηριακές Ασκήσεις ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Λογική Σχεδίαση Εργαστηριακές Ασκήσεις Οκτώβριος 2008 Περιεχόµενα Άσκηση 1: Εισαγωγικό Εργαστήριο...

Διαβάστε περισσότερα

Βασικές οδηγίες εγκατάστασης και χρήσης. Σύστημα περιπολίας GMS Αισθητήρας ανάγνωσης επιτρεπόμενη απόσταση από το σημείο ελέγχου 3-5cm.

Βασικές οδηγίες εγκατάστασης και χρήσης. Σύστημα περιπολίας GMS Αισθητήρας ανάγνωσης επιτρεπόμενη απόσταση από το σημείο ελέγχου 3-5cm. Βασικές οδηγίες εγκατάστασης και χρήσης Σύστημα περιπολίας GMS-3000 1. Αισθητήρας ανάγνωσης επιτρεπόμενη απόσταση από το σημείο ελέγχου 3-5cm. 1. Το ενδεικτικό αναβοσβήνει 3 φορές σε περίπτωση επιτυχούς

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX)

ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX) ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX) Αντικείμενο της άσκησης: Η κατανόηση των εννοιών πολύπλεξης - απόπλεξης, η σχεδίαση σε επίπεδο πυλών ενός πολυπλέκτη και εφαρμογές με τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

Boot Camp Οδηγός εγκατάστασης και διαμόρφωσης

Boot Camp Οδηγός εγκατάστασης και διαμόρφωσης Boot Camp Οδηγός εγκατάστασης και διαμόρφωσης Περιεχόμενα 3 Εισαγωγή 4 Επισκόπηση εγκατάστασης 4 Βήμα 1: Έλεγχος για ενημερώσεις 4 Βήμα 2: Προετοιμασία του Mac για τα Windows 4 Βήμα 3: Εγκατάσταση των

Διαβάστε περισσότερα

Internet 1. Ρυθµίσεις ικτύου Η MID διαθέτει ενσωµατωµένο Wi-Fi module. Κάντε κλικ στο, στο µενού ρυθµίσεων θα εµφανιστεί στο MID.

Internet 1. Ρυθµίσεις ικτύου Η MID διαθέτει ενσωµατωµένο Wi-Fi module. Κάντε κλικ στο, στο µενού ρυθµίσεων θα εµφανιστεί στο MID. 1 2 9 4 3 5 6 7 8 Internet 1. Ρυθµίσεις ικτύου Η MID διαθέτει ενσωµατωµένο Wi-Fi module. Κάντε κλικ στο, στο µενού ρυθµίσεων θα εµφανιστεί στο MID. 6.3 Επιλέξτε µια εικόνα. Κάντε κλικ στο "Wallpaper"

Διαβάστε περισσότερα

Περιεχόμενα συσκευασίας

Περιεχόμενα συσκευασίας Εμπορικά σήματα Το NETGEAR, το λογότυπο NETGEAR και το Connect with Innovation είναι εμπορικά σήματα ή/και σήματα κατατεθέντα της NETGEAR, Inc. ή/και των θυγατρικών της στις Ηνωμένες Πολιτείες Αμερικής

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Ψηφιακής Σχεδίασης ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ Εργαστήριο Ψηφιακής Σχεδίασης 8 Εργαστηριακές Ασκήσεις Χρ. Καβουσιανός Επίκουρος Καθηγητής 2014 Εργαστηριακές Ασκήσεις Ψηφιακής Σχεδίασης 2 Εργαστηριακές Ασκήσεις

Διαβάστε περισσότερα

Ο ΗΓΙΕΣ ΧΡΗΣΗΣ ΤΟΥ ΕΡΓΑΛΕΙΟΥ ΙΑΧΕΙΡΙΣΗΣ ΠΡΟΣΩΠΙΚΟΥ ΧΩΡΟΥ ΤΗΣ ALTEC SOFTWARE

Ο ΗΓΙΕΣ ΧΡΗΣΗΣ ΤΟΥ ΕΡΓΑΛΕΙΟΥ ΙΑΧΕΙΡΙΣΗΣ ΠΡΟΣΩΠΙΚΟΥ ΧΩΡΟΥ ΤΗΣ ALTEC SOFTWARE Ο ΗΓΙΕΣ ΧΡΗΣΗΣ ΤΟΥ ΕΡΓΑΛΕΙΟΥ ΙΑΧΕΙΡΙΣΗΣ ΠΡΟΣΩΠΙΚΟΥ ΧΩΡΟΥ ΤΗΣ ALTEC SOFTWARE Περιεχόµενα Σύνδεση στον προσωπικό χώρο...2 Το κεντρικό παράθυρο...3 ιαδροµή φακέλου...3 ιαχείριση αρχείων και φακέλων...4 Αποστολή

Διαβάστε περισσότερα

1. Το ηλεκτρικό ρεύμα και τα ηλεκτρικά κυκλώματα

1. Το ηλεκτρικό ρεύμα και τα ηλεκτρικά κυκλώματα 1. Το ηλεκτρικό ρεύμα και τα ηλεκτρικά κυκλώματα Φύλλο Εργασίας Τίτλος: Το ηλεκτρικό ρεύμα και τα ηλεκτρικά κυκλώματα Γνωστικό Αντικείμενο: Ερευνώ το Φυσικό Κόσμο Διδακτική Ενότητα: Ηλεκτρισμός Τάξη: Ε'

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης Μετατροπέα Τάσης / Φορτιστή Συσσωρευτών

Εγχειρίδιο Χρήσης Μετατροπέα Τάσης / Φορτιστή Συσσωρευτών Εγχειρίδιο Χρήσης Μετατροπέα Τάσης / Φορτιστή Συσσωρευτών ΟΔΗΓΙΕΣ ΑΣΦΑΛΕΙΑΣ ΠΡΟΕΙΔΟΠΟΙΗΣΗ: Αυτό το κεφάλαιο περιέχει σημαντικές οδηγίες ασφαλείας και λειτουργίας. Διάβασε και κρατήστε αυτό το εγχειρίδιο

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ http://h2056wwwhp.com/portal/site/hpsc/template.page/public/psi... 1 of 5 1/7/2014 2:09 μμ Για το σπίτι Για την επιχείρηση Υποστήριξη Είσοδος Εγγραφή Βοήθεια ιστότοπου HP Color LaserJet 3600 Printer series

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙΔΙΟ ΟΔΗΓΙΩΝ. Πρόγραμμα Διαχείρισης Α.Π.Δ.

ΕΓΧΕΙΡΙΔΙΟ ΟΔΗΓΙΩΝ. Πρόγραμμα Διαχείρισης Α.Π.Δ. ΕΓΧΕΙΡΙΔΙΟ ΟΔΗΓΙΩΝ Πρόγραμμα Διαχείρισης Α.Π.Δ. Περιεχόμενα ΚΕΦΑΛΑΙΟ 1 Εγκατάσταση του προγράμματος 1 ΚΕΦΑΛΑΙΟ 2 Οδηγίες χρήσης προγράμματος με παράδειγμα 2 ΚΕΦΑΛΑΙΟ 3 Αντιγραφή Α.Π.Δ. προηγούμενης περιόδου

Διαβάστε περισσότερα

Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα

Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα

Διαβάστε περισσότερα

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE Η διαδικασία μεταφοράς του υλικού ενός μαθήματος από την πλατφόρμα Blackboard Vista στην πλατφόρμα Moodle σε βήματα είναι η ακόλουθη:

Διαβάστε περισσότερα

1. Περιεχόμενα συσκευασίας. 2. Γενική επισκόπηση της συσκευής. Τα πρώτα βήματα. ΟΔΗΓΟΣ ΓΙΑ ΣΥΝΤΟΜΗ ΕΝΑΡΞΗ ΧΡΗΣΗΣ Prestigio Nobile PER3162B

1. Περιεχόμενα συσκευασίας. 2. Γενική επισκόπηση της συσκευής. Τα πρώτα βήματα. ΟΔΗΓΟΣ ΓΙΑ ΣΥΝΤΟΜΗ ΕΝΑΡΞΗ ΧΡΗΣΗΣ Prestigio Nobile PER3162B Τα πρώτα βήματα EL 1. Περιεχόμενα συσκευασίας 1. Συσκευή ανάγνωσης ηλ. βιβλίων 2. Καλώδιο USB 3. Ακουστικά 4. Οδηγός για σύντομη έναρξη χρήσης 5. Κάρτα εγγύησης 2. Γενική επισκόπηση της συσκευής 1 2 3

Διαβάστε περισσότερα

Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps

Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και

Διαβάστε περισσότερα

Εγκατάσταση. Σημείο ασύρματης πρόσβασης NETGEAR ac WAC120. Περιεχόμενα συσκευασίας. NETGEAR, Inc. 350 East Plumeria Drive San Jose, CA USA

Εγκατάσταση. Σημείο ασύρματης πρόσβασης NETGEAR ac WAC120. Περιεχόμενα συσκευασίας. NETGEAR, Inc. 350 East Plumeria Drive San Jose, CA USA Εμπορικά σήματα Το NETGEAR, το λογότυπο NETGEAR και το Connect with Innovation είναι εμπορικά σήματα ή/και σήματα κατατεθέντα της NETGEAR, Inc. ή/και των θυγατρικών της στις Ηνωμένες Πολιτείες Αμερικής

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΗΛΕΚΤΡΙΚΩΝ

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΗΛΕΚΤΡΙΚΩΝ ΗΜΟΚΡΙΤΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΡΑΚΗΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΞΑΝΘΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΥΣΗΣ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΙΙΙ

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εργαστήριο Κυκλωµάτων και Μετρήσεων Εργαστήριο 6 Θεώρηµα Thevenin Λευκωσία, 2015 Εργαστήριο 6 Θεώρηµα Thevenin Σκοπός: Σκοπός

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης V3.0

Εγχειρίδιο Χρήσης V3.0 ΕΦΑΡΜΟΓΗ ΔΙΑΧΕΙΡΙΣΗΣ ΠΕΡΙΕΧΟΜΕΝΟΥ Εγχειρίδιο Χρήσης V3.0 Πίνακας Περιεχομένων: 1. Σύνδεση με την συσκευή 3 1.1 Σύνδεση μέσω Wi-Fi Direct.... 3 1.2 Ενσύρματη σύνδεση (LAN) 3 1.3 Ασύρματη σύνδεση (WiFi).

Διαβάστε περισσότερα

Android Studio για Windows

Android Studio για Windows Android Studio για Windows 1. Οδηγίες Εγκατάστασης: 1. Κατεβάστε το Android Studio από εδώ (τελευταία έκδοση 0.4.6, 496 MB): http://developer.android.com/sdk/installing/studio.html 2. Εγκαταστήστε το αρχείο

Διαβάστε περισσότερα

1. Περιεχόμενα συσκευασίας. 2. Γενική επισκόπηση της συσκευής. Τα πρώτα βήματα. 1. Κύρια μονάδα ανάγνωσης

1. Περιεχόμενα συσκευασίας. 2. Γενική επισκόπηση της συσκευής. Τα πρώτα βήματα. 1. Κύρια μονάδα ανάγνωσης Τα πρώτα βήματα ΟΔΗΓΟΣ ΓΙΑ ΣΥΝΤΟΜΗ ΕΝΑΡΞΗ ΧΡΗΣΗΣ 1. Περιεχόμενα συσκευασίας 1. Κύρια μονάδα ανάγνωσης 2. Καλώδιο USB ηλεκτρονικών βιβλίων 3. Ακουστικά 4. Θήκη μεταφοράς 5. 6. Κάρτα εγγύησης 2. Γενική επισκόπηση

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Βήμα 1: Γενικά στοιχεία της εργασίας και υπεύθυνος επικοινωνίας

Βήμα 1: Γενικά στοιχεία της εργασίας και υπεύθυνος επικοινωνίας 1 Γενικές Οδηγίες Βήμα 1: Γενικά στοιχεία της εργασίας και υπεύθυνος επικοινωνίας Βήμα 2: Τίτλος και κείμενο εργασίας Βήμα 3: Συγγραφείς Βήμα 4: Προεπισκόπηση εργασίας και υποβολή 2 Σε περίπτωση που δεν

Διαβάστε περισσότερα

CADENCE. User Manual

CADENCE. User Manual CADENCE User Manual ΠΕΡΙΕΧΟΜΕΝΑ Αρχικοποίηση Περιβάλλοντος.. 1. Ξεκινώντας το πρόγραμμα Cadence... 1.1 Ανοίγοντας και Χρησιμοποιώντας το Library Manager. 1.2 Δημιουργία μιας Νέας Βιβλιοθήκης... 1.3 Άνοιγμα

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΜΑΘΗΜΑΤΑ ΝΤΟΥΛΑΚΗΣ - ΜΕΝΙΟΥΔΑΚΗΣ ΕΠΑ.Λ ΕΛ. ΒΕΝΙΖΕΛΟΥ

ΒΑΣΙΚΑ ΜΑΘΗΜΑΤΑ ΝΤΟΥΛΑΚΗΣ - ΜΕΝΙΟΥΔΑΚΗΣ ΕΠΑ.Λ ΕΛ. ΒΕΝΙΖΕΛΟΥ ΒΑΣΙΚΑ ΜΑΘΗΜΑΤΑ ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ... 2 ΠΡΟΛΟΓΟΣ... 3 ΕΙΣΑΓΩΓΗ... 4 Η ΑΝΑΠΤΥΞΗ ΤΗΣ ΟΘΟΝΗΣ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ... 4 Ο ΕΚΠΑΙΔΕΥΤΗΣ ΡΟΜΠΟΤ... 5 ΤΟ ΠΑΡΑΘΥΡΟ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ... 5 ΤΑ ΚΟΥΜΠΙΑ ΚΑΙ ΤΑ ΜΠΛΟΚ...

Διαβάστε περισσότερα

ΦΥΛΛΟ ΕΡΓΑΣΙΑΣ: Εντολές κίνησης και στροφής στο προγραμματιστικό περιβάλλον Scratch. ΟΝΟΜΑΤΕΠΩΝΥΜΟ:

ΦΥΛΛΟ ΕΡΓΑΣΙΑΣ: Εντολές κίνησης και στροφής στο προγραμματιστικό περιβάλλον Scratch. ΟΝΟΜΑΤΕΠΩΝΥΜΟ: ΦΥΛΛΟ ΕΡΓΑΣΙΑΣ: Εντολές κίνησης και στροφής στο προγραμματιστικό περιβάλλον Scratch. ΟΝΟΜΑΤΕΠΩΝΥΜΟ: 1. Ανοίξτε τον φυλλομετρητή Mozilla Firefox και στην γραμμή διευθύνσεων πληκτρολογήστε την διεύθυνση:

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού

ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού Α.Τ.Ε.Ι. ΘΕΣΣΑΛΟΝΙΚΗΣ ΤΜΗΜΑ ΑΥΤΟΜΑΤΙΣΜΟΥ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού Θεσσαλονίκη, Ιανουάριος 2007 Η Άσκηση 8 του εργαστηρίου

Διαβάστε περισσότερα

Δραστηριότητα 3: Ρυθμίσεις Oικιακής Ομάδας Τοπικού Δικτύου Η/Υ σε WINDOWS 7 & 8

Δραστηριότητα 3: Ρυθμίσεις Oικιακής Ομάδας Τοπικού Δικτύου Η/Υ σε WINDOWS 7 & 8 Δραστηριότητα 3: Ρυθμίσεις Oικιακής Ομάδας Τοπικού Δικτύου Η/Υ σε WINDOWS 7 & 8 Βιβλιογραφία: https://support.microsoft.com/el-gr/help/17145/windows-homegroup-from-start-to-finish ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ τι είναι

Διαβάστε περισσότερα

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3 ΑΣΚΗΣΗ 5 ΑΘΡΟΙΣΤΕΣ - ΑΦΑΙΡΕΤΕΣ 5.1. ΣΚΟΠΟΣ Η πραγματοποίηση της αριθμητικής πρόσθεσης και αφαίρεσης με λογικά κυκλώματα. 5.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ: Κάθε σύστημα αρίθμησης χαρακτηρίζεται

Διαβάστε περισσότερα

1.1 Θεωρητική εισαγωγή

1.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ΛΟΓΙΚΕΣ ΠΥΛΕΣ NOT, AND, NAND Σκοπός: Να εξοικειωθούν οι φοιτητές µε τα ολοκληρωµένα κυκλώµατα της σειράς 7400 για τη σχεδίαση και υλοποίηση απλών λογικών συναρτήσεων.

Διαβάστε περισσότερα