Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή"

Transcript

1 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ 3 Εισαγωγή Σε προηγούµενα πειράµατα έχουµε εξετάσει την χρήση τριών συνόλων πυλών που είναι συναρτησιακά πλήρη για την πραγµατοποίηση όλων των συνδυαστικών λογικών πράξεων: 1) πύλες AND, OR, και NOT, 2) πύλες NAND, και 3) πύλες NOR. Έχουµε επίσης εξετάσει την πύλη XOR, η οποία παρόλο που δεν είναι συναρτησιακά πλήρης, είναι χρήσιµη για την κατασκευή χρήσιµων συναρτήσεων όπως λ.χ. την παραγωγή περιττής ισοτιµίας. Μέχρι στιγµής έχουµε χρησιµοποιήσει ολοκληρωµένα τεχνολογίας SSI (Small-Scale Integration) στο εργαστήριο για να υλοποιήσουµε τους σχεδιασµούς µας. Σε αυτό το Εργαστήριο, θα χρησιµοποιήσουµε δύο ολοκληρωµένα τεχνολογίας MSI (Medium-Scale Integration), ένα ΠΟΛΥΠΛΕΚΤΗ (Multiplexer - MUX) και ένα ΑΠΟΚΩ ΙΚΟΠΟΙΗΤΗ (Decoder). Το κάθε ένα από αυτά θα χρησιµοποιηθεί, από µόνο του, για τον σχεδιασµό ενός κυκλώµατος συνδυαστικής λογικής ενός αθροιστή ενός δυαδικού ψηφίου (one-bit binary full adder). Όπως φαίνεται στο πιο κάτω διάγραµµα, ένας πλήρης αθροιστής ενός bit έχει τρεις εισόδους του ενός δυαδικού ψηφίου, (προσθετέος Χ, προσθετέος Υ και κρατούµενο εισόδου (carry-in) Cin ). Ακόµα, διαθέτει δύο εξόδους (κρατούµενο εξόδου (carry-out) Cout, και άθροισµα Sum). Ένας πλήρης αθροιστής ριπής Ν-bit (N-bit Ripple Carry Adder), αποτελείται από Ν διαδοχικούς πλήρεις αθροιστές ενός bit. Αυτή η µέθοδος θα χρησιµοποιηθεί για την κατασκευή ενός αθροιστή/αφαιρέτη τεσσάρων bit στο µέρος αυτής της άσκησης. Μια πιθανή υλοποίηση ενός πλήρους αθροιστή 1-bit φαίνεται στην εικόνα 5-4 σελ. 205 του βιβλίου σας (Mano & Kime, 2004). Επίσης, η εικόνα 5-5 σελ. 206 δείχνει το ιεραρχικό σχηµατικό για ένα πλήρης αθροιστής ριπής 4-bit (4-bit Ripple Carry Adder). X Προσθετέος 1 Y Προσθετέος 2 Άθροισµα Sum Cin Κρατούµενο Εισόδου Κρατούµενο Εξόδου Cout Σε αυτό το Εργαστήριο, θα χρησιµοποιηθεί η εφαρµογή του MAX+PLUS II Timing Analyzer για πρώτη φορά. Η εφαρµογή αυτή παρουσιάζει τις καθυστερήσεις στο κύκλωµα οι οποίες υπολογίζονται από τον Compiler σε µια ευανάγνωστη µορφή πίνακα. Το MAX+PLUS II θεωρεί ότι ο σχεδιασµός θα υλοποιηθεί µε διατάξεις προγραµµατιζόµενης λογικής (PLDs) και όχι µε τα ολοκληρωµένα που θα χρησιµοποιήσετε. Έτσι οι καθυστερήσεις δεν θα είναι ακριβώς οι ίδιες µε αυτές που θα υπάρχουν στο κύκλωµ α σας, παρόλα αυτά είναι χρήσιµο για να κατανοήσετε την έννοια της καθυστέρησης. Επίσης στην άσκηση αυτή θα χρησιµοποιήσετε δίαυλους (buses) για πρώτη φορά. Η χρήση των διαύλων θα εξηγηθεί στην συνέχεια µε λεπτοµέρεια. Οι περισσότερες διαδικασίες αυτής της άσκησης έ- χουν καλυφθεί εκτεταµένα τις προηγούµενες εργαστηριακές ασκήσεις και άρα οι επεξηγήσεις τους δεν 1

2 επαναλαµβάνονται εδώ. Αν δε θυµάστε πώς πρέπει να γίνουν οι ζητούµενες ενέργειες, συµβουλευτείτε τις προηγούµενες ασκήσεις ή το σύστηµα βοήθειας του MAX+PLUS II. Σηµείωση: Τα πιο κάτω αρχεία χρειάζονται για αυτή την άσκηση. Πριν ξεκινήσετε, αντιγράψετε τα αρχεία αυτά από το φάκελο του µαθήµατος σε ένα δικό σας φάκελο µε το όνοµα lab3. Ακολουθήστε τις οδηγίες των υπευθύνων του εργαστηρίου για το που βρίσκονται τα αρχεία αυτά: lab3.scf, lab3_fas4.scf 2

3 Μέρος Α (πρώτη εβδοµάδα) Εργασία 1. Καταγράψτε το πίνακα αληθείας ενός πλήρους αθροιστή ενός bit µε τις εξής στήλες: X, Y, C, Cout, Sum. Οι τιµές εισόδου πρέπει να σχηµατίζουν µιαν ακολουθία Σηµειωµατάριου: δυαδικής απαρίθµησης (binary counter). 2. Καταγράψτε τις εξόδους Sum και Cout σαν άθροισµα ελαχιστόρων (sum of minterms), τόσο σαν εκφράσεις Boolean όσο και στην σύντοµη σηµειογραφία που έχετε µάθει (Σm). Σηµειώστε ότι το bit Cin είναι το λιγότερο σηµαντικό bit (LSB) και το X το µεγαλύτερο σηµαντικό bit (MSB). I. Υλοποίηση Πλήρους Αθροιστή ενός Bit µε Πολυπλέκτες. Ένας πολυπλέκτης (multiplexer - ΜUX) είναι το ισοδύναµο, λειτουργικά, ολοκληρωµένο ενός διακόπτη επιλογής, του οποίου η µία έξοδος µπορεί να ενωθεί µε οποιαδήποτε από τις Ν διαφορετικές εισόδους. Η «θέση» του διακόπτη καθορίζεται από ένα δυαδικό αριθµό ο οποίος είναι επίσης είσοδος στο κύκλωµα ή από µία διεύθυνση που αποτελείτε από log 2 (N) bits.παραδείγµατα τέτοιων ολοκληρωµένων τεχνολογίας Low-Power Schottky (LS) είναι οι διατάξεις: 74LS157 (τέσσερις 2-σε-1 ΜUX), 74LS153 (δύο 4-σε-1 MUX) και 74LS151 (ένας 8-σε-1 MUX) πολυπλέκτες. Μόνο οι 74LS157 και 74LS153 υπάρχουν στον κουτί σας και µόνο ο δεύτερος θα χρησιµοποιηθεί στην άσκηση αυτή. C 0 C 1 0,0 0,1 Z Το συναρτησιακό ισοδύναµο ενός 4-σε-1 πολυπλέκτη δίνετε πιο κάτω. Τα δύο σήµατα ελέγχου Β και Α καθορίζουν την θέση του διακόπτη, έτσι που η έξοδος Ζ να είναι ενωµένη σε ακριβώς µία από τις εισόδους C 0 έως C 3. Η πράξη που εκτελεί ο διακόπτης καθορίζεται από την δυαδική συνάρτηση που φαίνεται στην εξίσωση [1]. F= ( B A) C + ( B A) C C [1] ( B A) C2 + ( B A) 1,0 C B, A Παρόλο που ο πολυπλέκτης χρησιµοποιείται συχνά σαν διακόπτης 2 µπορεί να χρησιµοποιηθεί και για την παραγωγή συνδυαστικής λογικής. Ένας πολυπλέκτης µε M σήµατα ελέγχου (διευθύνσεων) µπορεί 1,1 C 3 να υλοποιήσει οποιαδήποτε συνάρτηση µε τις Μ αυτές µεταβλητές αφού υπάρχει µία ακριβώς είσοδος που αντιστοιχεί σε κάθε ελαχιστόρο. Θέτοντας κατάλληλη τιµή σε κάθε ένα από τις εισόδους (αντίστοιχη µεταβλητή, 1 ή 0) παίρνουµε τους ελαχιστόρος που επιθυµούµε σύµφωνα µε τον πίνακα αληθείας της συνάρτησης. Ένας πολυπλέκτης και ένας αντιστροφέας µπορούν να υλοποιήσουν κάθε συνάρτηση Μ+1 µεταβλητών, όπου η επιπλέον µεταβλητή, το συµπλήρωµα της, το 0 ή το 1 συνδέονται σε κάθε γραµµή εισόδου όπως καθορίζεται από τον πίνακα αληθείας της συνάρτησης. Για παράδειγµα θεωρείστε ότι έχουµε µία συνάρτηση τριών µεταβλητών F(X, Y, W), και θέλουµε να την υλοποιήσουµε µε ένα πολυπλέκτη 4-σε-1. Αν χρησιµοποιήσουµε τις µεταβλητές X και Y ως τα δύο σήµατα ελέγχου του πολυπλέκτη, τότε το W γίνεται η «επιπλέον» µεταβλητή του πολυπλέκτη. Από τον πίνακα αληθείας µπορείτε να βρείτε ότι οι τιµές των εισόδων του πολυπλέκτη πρέπει να τεθούν στο (W, W, 0, or 1). Εναλλακτικά, οι τέσσερις είσοδοι του πολυπλέκτη C0 έως C3 µπορούν να υπολογιστούν από την εξίσωση [1] αντικαθιστώντας συγκεκριµένες τιµές του Χ και του Υ στην συνάρτηση [2] πιο κάτω. Οι υπολογισµοί που θα κάνετε θα σας οδηγήσουν στις τιµές (0, 1, W ή W ) που πρέπει να ανατεθούν στις εισόδους του πολυπλέκτη. Με F στην [2] αναφέρεται η συνάρτηση που θέλουµε να φτιάξουµε µε τον πολυπλέκτη. C 0 = F(0, 0, Z) C 1 = F(0, 1, Z) C 2 = F(1, 0, Z) C 3 = F(1, 1, Z) [2] Ο πίνακας αληθείας και το διάγραµµα σύνδεσης για το 74LS153 φαίνεται στην επόµενη σελίδα. Ση- µειώστε ότι το Β είναι το MSB της διεύθυνσης 2-bit και το σήµα επιλογής _G είναι αρνητικής λογικής Το πρόθεµα _ µπροστά από ένα σήµα υπονοεί ότι το σήµα είναι αρνητικής λογικής (ενεργοποιείται µε low). 3

4 Switch En Output B A _G Y X X C C C C3 Εργασία Σηµειωµατάριου: II. 1. Σχεδιάστε ένα κύκλωµα που να υλοποιεί ένα πλήρη αθροιστή µε την χρήση ενός ολοκληρωµένου µε δύο πολυπλέκτες 4-σε-1 και ένα αντιστροφέα. Χρησιµοποιήστε τις εξισώσεις που έχετε στο σηµειωµατάριο (άσκηση 2, σελ. 3) µε τους υπολογισµούς των εξισώσεων [2] πιο πάνω. Επαληθεύσετε τα αποτελέσµατα σας µε την παρατήρηση των πινάκων αληθείας. Υλοποίηση ενός Πλήρους Αθροιστή ενός Bit µε Αποκωδικοποιητή. Ένας αποκωδικοποιητής (decoder) είναι ένα ολοκληρωµένο MSI συνδυαστική λογικής µε N εισόδους και µε 2 N εξόδους, που αντιστοιχούν στους ελαχιστόρους των εισόδων, έτσι που ακριβώς µια από τις εξόδους ενεργοποιείται για κάθε συνδυασµό τιµών στις εισόδους. Κάθε συνάρτηση Ν µεταβλητών µπορεί να αναπαρασταθεί παίρνοντας το λογικό OR των ελαχιστόρων που περιγράφουν την συνάρτηση µας, από τις εξόδους του αποκωδικοποιητή. Παραδείγµατα αποκωδικοποιητών τεχνολογίας Low-Power Schottky technology είναι τα: 74LS155A (δύο 2-σε-4 Decoders), 74LS138 (ένας 3-σε-8 Decoder) και το 74LS42 (ένας 4-σε-10 BCD-σε-δεκαδικό) αποκωδικοποιητής. Αφού ο πλήρης αθροιστής έχει 3 εισόδους θα χρησιµοποιήσουµε το ολοκληρωµένο 74LS138 3-σε-8 αποκωδικοποιητή για αυτό το πείραµα. Ο πίνακας αληθείας και το διάγραµµα των pins του ολοκληρωµένου ακολουθούν στην επόµενης σελίδα. Σηµειώστε ότι οι έξοδοι είναι αρνητικής λογικής (active low), όπως δηλώνουν οι κύκλοι µπροστά από τα ονόµατα τους. Επίσης, παρατηρήστε ότι οι είσοδοι ενεργοποίησης (Enable Inputs) είναι θετικής και αρνητικής λογικής. Υπάρχουν τρεις είσοδοι ενεργοποίησης (G1, _G2A και _G2B). Ο αποκωδικοποιητής ενεργοποιείτε µόνο όταν το G1 είναι λογικό High (1) και τα δύο _G2A and _G2B είναι λογικό Low (0). Αν το G1 χρησιµοποιηθεί σαν σήµα εισόδου, τότε το ολοκληρωµένο ονοµάζετε αποπλέκτης (demultiplexer), όπου το συµπλήρωµα του G1 οδηγείτε στην συγκεκριµένη έξοδο Y που επιλέγετε µε την «διεύθυνση» των εισόδων 3-bit (C, B, and A). Ο αντίστοιχος του διακόπτης θα ήταν ένας διακόπτης 4 θέσεων όπως αυτός στην σελίδα 3, µε διάδοση του σήµατος από δεξιά προς τα αριστερά. 1. Παρατηρώντας τον πίνακα αληθείας του Πλήρους Αθροιστή (άσκηση 1, σελ. 3), Εργασία καθορίστε τις κατάλληλες συνδέσεις για την υλοποίηση του µε ένα 3-σε-8 αποκωδικοποιητή. Θεωρήστε τις εξόδους τους αποκωδικοποιητή θετική λογικής και Σηµειωµατάριου: δείξτε ένα κύκλωµα µε Sum και Cout ως εξόδους πυλών OR. 4

5 2. Αφού οι έξοδοι του 74LS138 είναι αρνητική λογικής, δείξτε ότι µετατρέποντας κατάλληλα το κύκλωµα στην σελίδα 1, µπορείτε να καταλήξετε σε ένα κύκλωµα µε ένα αποκωδικοποιητή και δύο πύλες NAΝD, που υλοποιούν ένα πλήρη αθροιστή. Το 74LS20 περιέχει δύο πύλες NAND 4 εισόδων. Έτσι, ο σχεδιασµός αυτός απαιτεί ένα µόνο 74LS138 και ένα µόνο 74LS20. Enable Inputs Select Inputs Outputs G1 _G2* C B A Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 X 1 X X X X X X X _G2* = _G2A + _G2B III. Υλοποίηση ενός bit µε το MAX+PLUS II a) Κατασκευή σχηµατικού µε Graphic Editor Κατασκευάστε ένα σχηµατικό διάγραµµα που να περιέχει τόσο την υλοποίηση σας µε τον πολυπλέκτη όσο και την υλοποίηση σας µε τον αποκωδικοποιητή. Τρεις θύρες εισόδου δίνουν τα Χ, Υ και Cin και για τους δύο σχεδιασµούς. Ο κάθε σχεδιασµό πρέπει να έχει, προφανώς, τις δικές του θύρες εξόδου. 1. Κατασκευάστε ένα κατάλογο µε όνοµα lab3 κάτω από το χώρο του µαθήµατος. 2. Ανοίξετε το MAX+PLUS II και δηµιουργήστε ένα νέο αρχείο του Graphic Editor. Αποθηκεύστε το µε το όνοµα lab3.gdf, και καθορίστε σαν τρέχον project το lab3. 5

6 3. Προσθέστε τα ακόλουθα σύµβολα µε το παράθυρο διαλόγου Enter Symbol : gnd, input, output, vcc, 7404 (inverter), (3-to-8 Decoder), (dual 4-to-1 Multiplexer), και 7420 (Dual 4-input NAND). 4. Αντιγράψετε τα στοιχεία που χρειάζεται σύµφωνα µε τον σχεδιασµό σας. Αν κατά τη διάρκεια του σχεδιασµού αντιληφθείτε ότι το αρχείο του Graphic Editor δεν είναι αρκετά µεγάλο για τον σχεδιασµό σας, µπορείτε να το µεγεθύνετε µε την επιλογή Size (File Menu). 5. Συνδέστε όλα τα σήµατα επιλογής (enable) των πολυπλεκτών και του αποκωδικοποιητή είτε στην γείωση είτε στη πηγή (Vcc), µε κατάλληλο τρόπο, ώστε τα στοιχεία να είναι πάντα επιλεγµένα (enable). 6. Μετονοµάστε τις εισόδους σε X, Y και Cin. 7. Σχεδιάστε ένα µικρό κόµβο («καλώδιο») από την έξοδο Y0N του αποκωδικοποιητή και ονοµάστε τον ως dec0 κάνοντας αριστερό κλικ πάνω του. Η ονοµασία κόµβων είναι πολύ πρακτική διαδικασία. ύο κόµβοι µε το ίδιο όνοµα θεωρούνται συνδεδεµένοι στο MAX+PLUS II, ακόµη κι αν δεν υπάρχει καλώδιο µεταξύ τους. Ως παράδειγµα θεωρήστε το πιο κάτω σχήµα. Σε περιπτώσεις που υπάρχουν πολλοί κόµβοι σε µικρή περιοχή, η σύνδεση µε καλώδια µπορεί να δηµιουργήσει συγχύσεις, τουλάχιστον οπτικές. Με την κατάλληλη ο- νοµασία των κόµβων ξεπερνούµε την δυσκολία αυτή. 8. Ονοµάστε τις υπόλοιπες εξόδους του αποκωδικοποιητή µε την σειρά, dec1 έως dec7. 9. Ονοµάστε τις εισόδους των πυλών NAND ώστε να αντιστοιχούν µε τις εξόδους του αποκωδικοποιητή και να ακολουθούν το σχεδιασµό που έχετε κάνει. 10. Συνδέστε τα υπόλοιπα στοιχεία σύµφωνα µε τους σχεδιασµούς σας στα µέρη Ι και ΙΙ. 11. Ονοµάστε τις θύρες εξόδου µε τα ονόµατα: Sum_Mux, Cout_Mux, Sum_Dcd και Cout_Dcd για τους πολυπλέκτες και τον αποκωδικοποιητή αντίστοιχα. 12. Αποθηκεύσετε και ελέγξτε το αρχείο για λάθη. 13. Κρατήστε µία εκτύπωση του σχηµατικού σας. 14. Κλείστε τον Graphic Editor. b) Προσοµοίωσης 1. Ανοίξτε τον compiler. 6

7 2. Επιλέξτε το Timing SNF Extractor (Processing menu). Ο compiler έχει οριστεί για να κατασκευάσει τα απαραίτητα αρχεία που περιέχουν χρονικές και λογικές πληροφορίες για χρονική ανάλυση και προσοµοίωση του κυκλώµατος. 3. Μεταφράστε το σχεδιασµό σας.. Σηµειώστε ότι η διαδικασία της µετάφρασης έχει µεγαλώσει σε διάρκεια (σε σχέση µε αυτές που έχουµε δει σε προηγούµενα Εργαστήρια) αφού επιπλέον βήµατα έχουν προστεθεί στην διαδικασία. 4. Με την χρήση του windows explorer, αντιγράψετε το αρχείο κυµατοµορφών, lab3.scf στο κατάλογο που περιέχει τον σχεδιασµό σας (περισσότερες πληροφορίες για το που βρίσκετε το αρχεία από τους υπευθύνους του εργαστηρίου). Σε αυτή την άσκηση, οι κυµατοµορφές εισόδου έχουν γίνει από πριν. Οι κυµατοµορφές θα δουλέψουν σωστά µόνο αν τα ονόµατα που έχετε δώσει συµφωνούν µε αυτά που δόθηκαν στα βήµατα 6 και 11 του (α). 5. Ανοίξετε τον Simulator. Επιβεβαιώστε ότι το αρχείο lab3.scf χρησιµοποιείται σαν είσοδος της προσοµοίωσης σας. 6. Εκτελέστε την προσοµοίωση και ανοίξετε το αρχείο SCF. Παρατηρήστε ότι στις εξόδους υπάρχου καθυστερήσεις. 7. Κρατήστε µια εκτύπωση των κυµατοµορφών. Για να πάρετε καλύτερη εκτύπωση επιλέξτε όπως η σελίδα σας τυπωθεί Landscape στο παράθυρο διαλόγου Print Setup (File menu). c) Χρονική Ανάλυση (Timing Analysis) Η χρονική συµπεριφορά του κυκλώµατος θα εξεταστεί µέσω της εφαρµογής του Timing Analyzer η οποία καταγράφει τις καθυστερήσεις του κυκλώµατος σε µια ευανάγνωστη µορφή. Σηµείωση: ο Timing Analyzer θα τρέξει µόνο αν έχει προηγηθεί επιτυχής µετάφραση του σχεδιασµού µε την επιλογή για Timing Functionality. 1. Ανοίξτε τον Timing Analyzer (MAX+plus II menu). 2. Επιλέξτε Delay Matrix (Analysis Menu). Η επιλογή αυτή καθορίζει τον Timing Analyzer ώστε να παρουσιάζει τα αποτελέσµατα της ανάλυσης της καθυστέρησης διάδοσης. 3. Επιλέξτε Start στο παράθυρο του Timing Analyzer. Ο πίνακας θα γεµίσει µε τιµές που αναπαριστούν τις καθυστερήσεις που υπάρχουν στο κύκλωµα.. 4. Καταγράψτε τις τιµές των καθυστερήσεων στο σηµειωµατάριο σας. Αν για ένα ζεύγος εισόδου/εξόδου υπάρχουν δύο τιµές καθυστέρησης οφείλετε στο ότι η καθυστέρηση διαφέρει 7

8 για διαφορετικές τιµές εισόδου. Σε αυτή την περίπτωση καταγράψτε µόνο την µέγιστη καθυστέρηση Αν δεν υπάρχει τιµή για δύο κόµβους, τότε οι κόµβοι αυτοί δεν συνδέονται. 5. Κλείστε όλα τα παράθυρα αλλά µην βγείτε από το MAX+PLUS II. IV. Σχεδιασµός ενός Αθροιστή/Αφαιρέτη τεσσάρων bit Σε αυτή την παράγραφο θα κατασκευάσετε ένα µη προσηµασµένο (unsigned) αθροιστή/αφαιρέτη µε την χρήση ενός πλήρους αθροιστή ενός bit και µια πύλης XOR δύο εισόδων. Ο αθροιστής/αφαιρέτης έχει και µια τέταρτη είσοδο, την SUB. Όταν το SUB είναι στο λογικό 1, το κύκλωµα λειτουργεί σαν αφαιρέτης, ενώ όταν το SUB είναι στο λογικό 0, λειτουργεί σαν αθροιστής. Στόχος αυτής της άσκησης είναι να κατασκευάσετε ένα αθροιστή/αφαιρέτη τεσσάρων bit µε την παράθεση τεσσάρων προσηµασµένων α- θροιστών/αφαιρετών ενός bit όπως φαίνεται στην σελίδα 11. Στα επόµενα βήµατα θα µετατρέψετε το σχηµατικό lab3.gdf για να φτιάξετε ένα προσηµασµένο αθροιστή/αφαιρέτη ενός bit µε την προσθήκη µιας θύρας εισόδου και µίας πύλης 74LS86A XOR στο κύκλωµα του πολυπλέκτη 74LS153. Το σχηµατικό θα αποθηκευτεί σαν lab3_fas.gdf, και θα δηµιουργηθεί ένα σύµβολο µε το ίδιο όνοµα. Το σύµβολο θα αναπαραχθεί 4 φορές σε ένα σχηµατικό µε το όνοµα lab3_fas4.gdf και θα γίνουν οι απαραίτητες συνδέσεις για να δηµιουργηθεί ο αθροιστής/αφαιρέτης των 4 bit. Ο σχεδιασµός µετά θα µεταφραστεί και θα προσοµοιωθεί. a) ηµιουργία σχηµατικού Πλήρους Αθροιστή 1 bit. 1. Ανοίξετε το σχηµατικό lab3.gdf στο Graphic Editor. 2. Αποθηκεύσετε το σαν lab3_fas.gdf και θέστε το current project στο αρχείο αυτό. 3. Επιλέξτε και διαγράψτε όλα τα στοιχεία που έχουν σχέση µε την υλοποίηση του αθροιστή µε το αποκωδικοποιητή, δηλαδή τα 74138, 7420, κλπ. 4. Εισάγετε µια θύρα εισόδου και ονοµάστε την SUB. Μετονοµάστε τις υπόλοιπες δύο εξόδους σε Sum και Cout. 5. Προσθέστε µια πύλη 7486 XOR (74LS86A στο κουτί µε τα ολοκληρωµένα) για να χρησιµοποιηθεί µε την είσοδο SUB. Συνδέστε την XOR για αντιστροφή του Y όταν SUB= 1. Με τον τρόπο αυτό υλοποιούµε το συµπλήρωµα ως προς ένα (ones complement του Y). Το συµπλήρωµα ως προς δύο (twos complement του Y) θα υλοποιηθεί χρησιµοποιώντας το SUB σαν είσοδο στο Cin(0) στο ψηλότερο επίπεδο του σχηµατικού 4-bit, lab3_fas4.gdf. 6. Αποθηκεύστε και ελέγξτε το σχηµατικό. 7. ηµιουργήστε το σύµβολο επιλέγοντας Create a Default Symbol (File menu). Παρόλο που δεν υπάρχει κάποια οπτική διαφοροποίηση ή µήνυµα το σύµβολο έχει δηµιουργηθεί και αποθηκευτεί. 8

9 b) Symbol Editor 1. Ανοίξετε το αρχείο lab3_fas.sym που περιέχει το σύµβολο (περισσότερες πληροφορίες για το που βρίσκετε το αρχεία από τους υπευθύνους του εργαστηρίου). Το σύµβολο θα ανοίξει στην εφαρµογή Symbol Editor. Από εδώ µπορείτε να αλλάξετε την εµφάνιση του συµβόλου σος, περιλαµβανοµένων του σχήµατος και του µεγέθους του καθώς και την θέση των εισόδων και εξόδων. 2. Αλλάξτε το σύµβολο ώστε να συµφωνεί µε το lab3_fas.gdf του σχηµατικού της σελίδας Αποθηκεύστε το σύµβολο και κλείστε το αρχείο. c) ηµιουργία Σχηµατικού Πλήρους Αθροιστή/Αφαιρέτη 4-bit. 1. ηµιουργήστε ένα αρχείο σχεδιασµού και αποθηκεύστε το σαν lab3_fas4.gdf. Καθορίστε το σαν τρέχον project. 2. Εισάγετε 4 αντίγραφα (στιγµιότυπα) του συµβόλου lab3_fas από το παράθυρο διαλόγου Insert Symbol καθώς και τρεις θύρες εισόδου, δύο θύρες εξόδου και ένα σύµβολο γείωσης και τοποθετήστε τα όπως το σχήµα της σελίδας Μετονοµάστε τις θύρες όπως το σχήµα στην σελίδα 11. Οι θύρες εισόδου X[3..0], Y[3..0] και Z[3..0] είναι σε µορφή δίαυλου (bus). Ένα pin διαύλου χρησιµοποιείται για την δηµιουργία µιας οµάδας από pins. Όταν συνδέουµε ένα δίαυλο µε ένα pin χρησιµοποιούµε την ονοµασία NAME[n..0], όπου n ο αριθµός των bits µείων ένα. Έτσι, µία θύρα εισόδου µε όνοµα A[3..0] είναι ακριβώς το ίδιο µε το να είχαµε 4 διαφορετικές εισόδους µε ονό- µατα A3, A2, A1 και A0. 4. Σχεδιάστε µικρές γραµµές από τα pin των διαύλων που να µην είναι ενωµένα πουθενά. Επιλέξτε αυτές γραµµές και από το µενού RMB επιλέξτε line style. Επιλέξτε την πιο χοντρή συνεχόµενη γραµµή η οποία είναι δεύτερη στην λίστα επιλογών. Αυτό θα δηµιουργήσει γραµµές διαύλου εισόδου και ε- ξόδου. Οι γραµµές διαύλου δεν είναι απαραίτητες, αλλά κάνουν τον σχεδιασµό µας πιο ευανάγνωστο, δηλαδή καταλαβαίνει κάποιος πιο εύκολα ότι πρόκειται για δίαυλο. Παρόλα αυτά, αν µια λεπτή γραµµή (αντί χοντρής) σχεδιαστεί για δίαυλο, ο compiler θα επιστρέψει λάθος. 5. Ονοµάστε τις εισόδου και εξόδους του συµβόλου lab3_fas σύµφωνα µε το σχήµα της σελίδας 11 µε τον τρόπο που το κάνατε στο µέρος ΙΙ για το αποκωδικοποιητή. 9

10 Για να χρησιµοποιήσετε τα δεδοµένα µιας γραµµής διαύλου, ενώστε τη γραµµή διαύλου σε οποιαδήποτε από τις εισόδους του κάθε αθροιστή, ονοµάζοντας κατάλληλα τη γραµµή/είσοδο βάση του bit που αντιπροσωπεύει. Για το δίαυλο Α που συζητήθηκε πιο πάνω, η σωστή ο- νοµασία θα ήταν A3, A2, A1 και A0 για την κάθε είσοδο, διαφορετικά ο compiler θα µας έδινε λάθος. Να θυµάστε: - Ένα διάνυσµα κόµβων πρέπει να έχει µια χοντρή γραµµή διαύλου ενωµένη για σωστή µετάφραση. - Ένας κόµβος εισόδου µε όνοµα A[3..0] είναι το ίδιο µε 4 ξεχωριστούς κόµβους εισόδου µε όνοµα A3, A2, A1 και A0. - Ο κόµβος εισόδου δεν χρειάζεται να είναι ενωµένος γραφικά µε τα Pins του συµβόλου για να θεωρείτε συνδεδεµένος. 6. Συνδέστε τα υπόλοιπα στοιχεία για να ολοκληρωθεί το σχηµατικό. 7. Αποθηκεύσετε και ελέγξτε το αρχείο για λάθη. Κρατήστε µια εκτύπωση του. d) Προσοµοίωση 1. Μεταφράστε το project για έλεγχο µόνο της λογικής συµπεριφοράς του κυκλώµατος. 2. Αντιγράψετε το αρχείο κυµατοµορφών, lab3_fas4.scf στον κατάλογο που έχετε αποθηκεύσει τον σχεδιασµό σας. 3. Προσοµοιώστε τον αθροιστή 4-bit για 800ns µε το πιο πάνω αρχείο ως είσοδο. 4. Τυπώστε τα αποτελέσµατα της προσοµοίωσης. 5. Βγείτε από το MAX+PLUS II χωρίς να αποθηκεύσετε οτιδήποτε. Αναφορά Μέρους Α Η αναφορά σας πρέπει να περιλαµβάνει τον Πίνακα Αληθείας και τις εκφράσεις των ε- λαχιστόρων για τις ασκήσεις 1 και 2 στην σελίδα 2, την παραγωγή του πολυπλέκτη από το Ι.1, την παραγωγή του αποκωδικοποιητή από τα ΙΙ.1 και ΙΙ.2, τα σχηµατικά από το ΙΙΙ.a.13 και IV.c.7, τα αποτελέσµατα της προσοµοίωσης από το ΙΙI.b.7 και IV.d.4, και µια σύντοµη περιγραφή και σχολιασµό της διαδικασίας και των αποτελεσµάτων. Ύλη σχετική για αυτό το Εργαστήριο (αθροιστές, πολυπλέκτες, αποκωδικοποιητές) υπάρχει στο βιβλίο σας (Mano & Kime, 2004) στις σελίδες , , και

11 11

Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή

Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ 3

Διαβάστε περισσότερα

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ 3 Μέρος Α (Ι-V, προηγούμενο εργαστήριο λογισμικού) Βεβαιωθείτε

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Πλήρης Αθροιστής, Αποκωδικοποιητής και Πολυπλέκτης ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Λύσεις

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 Χειµερινό 23 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 υαδικός Αθροιστής, Πολυπλέκτες και Αποκωδικοποιητές Εβδοµάδα: 5 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 Χειµερινό 23 Στόχοι

Διαβάστε περισσότερα

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Γενικές Γραμμές Δυαδικοί Αριθμοί έναντι Δυαδικών Κωδίκων Δυαδικοί Αποκωδικοποιητές Υλοποίηση Συνδυαστικής Λογικής με Δυαδικό Αποκωδικοποιητή

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2008

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2008 ΗΜΥ 2: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο 28 Οκτ-8 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ Μιχαήλ Πανεπιστήμιο Κύπρου

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2007 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΕΡΓΑΣΤΗΡΙΑ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2007 Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 2 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 26 ΔΙΑΛΕΞΗ 8: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Ι (Κεφάλαιο 4) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Συναρτήσεις

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005. Στοιχειώδης Λογικές Συναρτήσεις

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005. Στοιχειώδης Λογικές Συναρτήσεις ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Μαρ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 4 -i: Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώµατα Περίληψη Συναρτήσεις και συναρτησιακές (λειτουργικές)

Διαβάστε περισσότερα

ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ

ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΩΔΙΚΟΠΟΙΗΤΗΣ ΠΟΛΥΠΛΕΚΤΗΣ ΑΠΟΠΛΕΚΤΗΣ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ 1) Κωδικοποιητής Ο κωδικοποιητής

Διαβάστε περισσότερα

6.1 Θεωρητική εισαγωγή

6.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 6 ΑΠΟΚΩ ΙΚΟΠΟΙΗΤΕΣ ΚΑΙ ΠΟΛΥΠΛΕΚΤΕΣ Σκοπός: Η κατανόηση της λειτουργίας των κυκλωµάτων ψηφιακής πολυπλεξίας και αποκωδικοποίησης και η εξοικείωση µε τους ολοκληρωµένους

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο Βασικές Συνδυαστικές Συναρτήσεις και. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο Βασικές Συνδυαστικές Συναρτήσεις και. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ 2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 29 Οκτ-9 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό μρ Εξάμηνο 29 Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ Μιχαήλ

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Σύνθετα Συνδυαστικά Κυκλώµατα Πύλες AND Πύλες OR Πύλες NAND Τυχαία Λογική Πύλες NOR Πύλες XNOR Η ολοκληρωµένη

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI υαδικός Αθροιστής & Αφαιρέτης A i B i FA S i C i C i+1 D Σειριακός Αθροιστής Σειριακός Αθροιστής: απαιτεί 1 πλήρη αθροιστή, 1 στοιχείο µνήµης και παράγει

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα 1 ΗΜΥ 2: Σχεδιασμός Ψηφιακών Συστημάτων Αυγ-3 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153)

Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153) ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153) Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX)

ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX) ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX) 8.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των πολυπλεκτών και αποπλεκτών και της χρήσης αυτών των ολοκληρωμένων κυκλωμάτων (Ο.Κ.)

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005. υαδική Αφαίρεση. υαδική Αφαίρεση (συν.) Ακόµη ένα παράδειγµα Αφαίρεσης.

Περίληψη. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005. υαδική Αφαίρεση. υαδική Αφαίρεση (συν.) Ακόµη ένα παράδειγµα Αφαίρεσης. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005 Κεφάλαιο 5 -ii: Αριθµητικές Συναρτήσεις και Κυκλώµατα Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Αφαίρεση δυαδικών Περίληψη

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 6 ΑΠΟΚΩΔΙΚΟΠΟΙΗΕΣ ( DECODERS )

ΑΣΚΗΣΗ 6 ΑΠΟΚΩΔΙΚΟΠΟΙΗΕΣ ( DECODERS ) 6.1. ΣΚΟΠΟΣ ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών ΑΣΚΗΣΗ 6 ΑΠΟΚΩΔΙΚΟΠΟΙΗΕΣ ( ECOERS ) Η κατανόηση της λειτουργίας των αποκωδικοποιητών και των εφαρμογών τους. 6.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ Ο

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Περιεχόµενα. Στοιχειώδης Λογικές Συναρτήσεις. Αποκωδικοποίηση (Decoding) Ενεργοποίηση Συνάρτησης (Enabling)

Περιεχόµενα. Στοιχειώδης Λογικές Συναρτήσεις. Αποκωδικοποίηση (Decoding) Ενεργοποίηση Συνάρτησης (Enabling) Περιεχόµενα Κεφάλαιο 4: Συνδυαστικές Συναρτήσεις και Κυκλώµατα Συναρτήσεις και µονάδες συναρτήσεων Στοιχειώδες λογικές συναρτήσεις Αποκωδικοποίησης Κωδικοποίηση Επιλογή (πολυπλέκτης) Chapter 4 Chapter

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 6: Δυαδικές Πράξεις, Συμπλήρωμα του 2, Δυαδικοί Αποκωδικοποιητές, Κωδικοποιητές, Πολυπλέκτες Δρ. Μηνάς Δασυγένης @ieee.ormdasygg

Διαβάστε περισσότερα

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2007 Εισαγωγή Προπαρασκευαστική Άσκηση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Max +Plus II Στο εργαστήριο ΗΜΥ

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Ψηφιακής Σχεδίασης ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ Εργαστήριο Ψηφιακής Σχεδίασης 8 Εργαστηριακές Ασκήσεις Χρ. Καβουσιανός Επίκουρος Καθηγητής 2014 Εργαστηριακές Ασκήσεις Ψηφιακής Σχεδίασης 2 Εργαστηριακές Ασκήσεις

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI και Εισαγωγή Οι προγραµµατιζόµενες διατάξεις είναι ολοκληρωµένα µε εσωτερικές πύλες οι οποίες µπορούν να υλοποιήσουν οποιαδήποτε συνάρτηση αν υποστούν

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3 ΑΣΚΗΣΗ 5 ΑΘΡΟΙΣΤΕΣ - ΑΦΑΙΡΕΤΕΣ 5.1. ΣΚΟΠΟΣ Η πραγματοποίηση της αριθμητικής πρόσθεσης και αφαίρεσης με λογικά κυκλώματα. 5.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ: Κάθε σύστημα αρίθμησης χαρακτηρίζεται

Διαβάστε περισσότερα

"My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Καραγιάννη Ελένη 1, Καραγιαννάκη Μαρία-Ελένη 2, Βασιλειάδης Αθανάσιος 3, Κωστουλίδης Αναστάσιος-Συμεών 4, Μουτεβελίδης Ιωάννης-Παναγιώτης 5,

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ : ΚΑΛΟΜΟΙΡΟΣ ΙΩΑΝΝΗΣ, ΕΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ

ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ : ΚΑΛΟΜΟΙΡΟΣ ΙΩΑΝΝΗΣ, ΕΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ Τίτλος: «Σχεδίαση και προσοµοίωση παράλληλης αριθµητικής λογικής µονάδας (ALU) για την επεξεργασία δυαδικών αριθµών εύρους 4-bit, µε το πρόγραµµα Multisim» ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2006-2007 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση υαδική Πρόσθεση

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX)

ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX) ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX) Αντικείμενο της άσκησης: Η κατανόηση των εννοιών πολύπλεξης - απόπλεξης, η σχεδίαση σε επίπεδο πυλών ενός πολυπλέκτη και εφαρμογές με τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential).

Διαβάστε περισσότερα

Αθροιστές. Ημιαθροιστής

Αθροιστές. Ημιαθροιστής Αθροιστές Η πιο βασική αριθμητική πράξη είναι η πρόσθεση. Για την πρόσθεση δύο δυαδικών ψηφίων υπάρχουν τέσσερις δυνατές περιπτώσεις: +=, +=, +=, +=. Οι τρεις πρώτες πράξεις δημιουργούν ένα άθροισμα που

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής Εισαγωγή ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1 Άσκηση 1 Οι λύσεις απαντήσεις που προτείνονται είναι ενδεικτικές και θα πρέπει να προσθέσετε Α) Αρχικά σχεδιάζουμε τον πίνακα αληθείας της λογικής έκφρασης: w x y z x G1 =x y G2 =z w F = G1 G2 Είσοδοι

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Λογισμικό Προσομοίωσης LogiSim καιχρήση KarnaughMaps Διδάσκοντες: Δρ. Αγαθοκλής Παπαδόπουλος & Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 9: Σχεδιασµός Συνδυαστικών Κυκλωµάτων ΙΙ (Κεφάλαιο 5) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch 9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας Θεσσαλονίκη, 25-28 Απριλίου 2017, ΝΟΗΣΙΣ "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Κωνσταντίνος Παρασκευόπουλος Καθηγητής Πληροφορικής

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά Κεφάλαιο Τρία: 3.1 Τι είναι αναλογικό και τι ψηφιακό µέγεθος Αναλογικό ονοµάζεται το µέγεθος που µπορεί να πάρει οποιαδήποτε τιµή σε µια συγκεκριµένη περιοχή τιµών π.χ. η ταχύτητα ενός αυτοκινήτου. Ψηφιακό

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ 1. Να μετατρέψετε τον δεκαδικό 16.25 σε δυαδικό. 2. Να μετατρέψετε τον δεκαδικό 18.75 σε δυαδικό και τον δεκαδικό 268 σε δεκαεξαδικό. 3. Να βρεθεί η βάση εκείνου του αριθμητικού

Διαβάστε περισσότερα

Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps

Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και

Διαβάστε περισσότερα

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές ) http://di.ionio.gr/~mistral/tp/csintro/ Αριθμοί Πράξεις με δυαδικούς αριθμούς

Διαβάστε περισσότερα

f(x, y, z) = y z + xz

f(x, y, z) = y z + xz Λύσεις θεμάτων Εξεταστικής Περιόδου Ιανουαρίου Φεβρουαρίου 27 ΘΕΜΑ Ο (2, μονάδες) Δίνεται η λογική συνάρτηση : f (, y, z ) = ( + y )(y + z ) + y z. Να συμπληρωθεί ο πίνακας αλήθειας της συνάρτησης. (,

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Άθροιση + + + + a +b 2c+s + Κρατούµενο προηγούµενης βαθµίδας κρατούµενο άθροισµα Μεταφέρεται στην επόµενη βαθµίδα σηµαντικότητας

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων

K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Ένα ψηφιακό κύκλωμα με n εισόδους

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http:

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές 12 ο Μάθημα Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ E-mail: leo@mail.ntua.gr URL: http://users.ntua.gr/leo 1 GROUP I A Λ ΤΡΙΤΗ PC-Lab GROUP IΙ Μ Ω ΠΑΡΑΣΚΕΥΗ Central Κέντρο

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Προσημασμένοι Ακέραιοι Δυαδικοί Αριθμοί Ημιαθροιστής - Ημιαφαιρέτης Πλήρης Αθροιστής - Πλήρης Αφαιρέτης Αθροιστής Διάδοσης Κρατούμενου Επαναληπτικές

Διαβάστε περισσότερα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2010 Εισαγωγή Προπαρασκευαστική παρουσίαση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Quartus II Στο εργαστήριο

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΝΗΜΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗ ΛΟΓΙΚΗ ΥΠΕΥΘΥΝΟΣ ΕΡΓΑΣΤΗΡΙΩΝ: ΧΡΥΣΟΣΤΟΜΟΣ ΧΡΥΣΟΣΤΟΜΟΥ ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2001 ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΑΠΛΟΠΟΙΗΣΗ και ΥΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ Σκοπός: Η κατανόηση της σχέσης µιας λογικής συνάρτησης µε το αντίστοιχο κύκλωµα. Η απλοποίηση λογικών συναρτήσεων

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου Ψηφιακή Σχεδίαση Εργαστηριο 1 Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου ΛΟΓΙΚΕΣ ΠΥΛΕΣ ΕΡΓΑΛΕΙΑ ΕΡΓΑΣΤΗΡΙΟ Το εργαλείο που θα χρησιμοποιηθεί

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 3. Λογικές Πράξεις & Λογικές Πύλες

Ψηφιακά Συστήματα. 3. Λογικές Πράξεις & Λογικές Πύλες Ψηφιακά Συστήματα 3. Λογικές Πράξεις & Λογικές Πύλες Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

Δείγμα Τελικής Εξέτασης στο ΗΜΥ213. Διδάσκοντας: Γιώργος Ζάγγουλος

Δείγμα Τελικής Εξέτασης στο ΗΜΥ213. Διδάσκοντας: Γιώργος Ζάγγουλος ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών (ΗΜΜΥ) Δείγμα Τελικής Εξέτασης στο ΗΜΥ213 Διδάσκοντας: Γιώργος Ζάγγουλος Οδηγίες Διαβάστε Προσεκτικά! Αυτή η εξέταση γίνεται με

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Απαντήσεις 1. Η παραγγελία είναι σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες η οποία μπορεί να αναλυθεί ως σάντουιτς ή (σουβλάκι και τηγανητές πατάτες)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Ερωτήσεις Επανάληψης 1. Ένας καθηγητής λογικής μπαίνει σε ένα εστιατόριο και λέει : Θέλω ένα σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες. Δυστυχώς,

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ 7 ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCODERS )

ΑΣΚΗΣΗ 7 ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ 7 ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCODERS ) ΑΣΚΗΣΗ ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCOERS ).. ΣΚΟΠΟΣ Η κατανόηση των κωδίκων των ψηφίων του δεκαδικού αριθμητικού συστήματος, της λειτουργίας των κωδικοποιητών και των εφαρμογών τους και

Διαβάστε περισσότερα

Ηλεκτρονική Μάθημα VIΙΙ Ψηφιακά Κυκλώματα Υλοποίηση Λογικών Συναρτήσεων

Ηλεκτρονική Μάθημα VIΙΙ Ψηφιακά Κυκλώματα Υλοποίηση Λογικών Συναρτήσεων Ηλεκτρονική Μάθημα VIΙΙ Ψηφιακά Κυκλώματα Υλοποίηση Λογικών Συναρτήσεων Καθηγητής Αντώνιος Γαστεράτος Τμήμα Ε.ΔΙ.Π. Μηχανικών Δρ. Αθανάσιος Παραγωγής Ψωμούλης και Διοίκησης, Δ.Π.Θ. Τμήμα Μηχανικών Παραγωγής

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού. Περιεχόµενα ΚΕΦΑΛΑΙΟ 3 Συνδυαστικά Κυκλώµατα 3.1 Συνδυαστικά Κυκλώµατα 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού 1 2 3.1 Συνδυαστικά Κυκλώµατα Έξοδος οποιαδήποτε

Διαβάστε περισσότερα

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 ) ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 9 ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των απαριθµητών. Υλοποίηση ασύγχρονου απαριθµητή 4-bit µε χρήση JK Flip-Flop. Κατανόηση της αλλαγής του υπολοίπου

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα