Lab 2 Manual - Introduction to Xilinx

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Lab 2 Manual - Introduction to Xilinx"

Transcript

1 Lab 2 Manual - Introduction to Xilinx Εισαγωγή Σε αυτό το εργαστήριο θα κάνουµε εισαγωγή στην γλωσσά προγραµµατισµού VHDL και εργαλείο Xilinx ISE. ISE είναι το εργαλείο που παρέχεται από Xilinx για να µπορούµε να γραφούµε κώδικα σε VHDL, ή Verilog. Μέσω του εργαστηρίου θα εξοικειωθείτε µε τις βασικές λειτουργίες του εργαλείου ISE 6.χ. Η VHDL έχει τρεις διαφορετικούς τρόπους για να υλοποιήσουµε κάποιο κύκλωµα-- data flow, ροή στοιχείων, structural δοµικός ή behavioral συµπεριφοριστικός. Για αυτό το εργαστήριο θα χρησιµοποιήσουµε συµπεριφοριστικός, behavioral. Έχουµε δύο τµήµατα του κώδικα. Το πρώτο τµήµα είναι η συµπεριφοριστική περιγραφή για το τι θα κανει project, πρόγραµµα. Το δεύτερο τµήµα του κώδικα είναι το test bench, πεδίο δοκιµών. Το test bench αρχείο χρησιµοποιείται για να εξετάσει το πρόγραµµα, δίνοντας κάποιες τιµές στις εισόδους του κυκλώµατος µας έτσι ώστε να µπορείτε να ελέγξτε ότι το σχέδιό σας λειτουργεί σωστά παρατηρώντας τα αποτελέσµατα. Η πλήρης συµπεριφοριστική περιγραφή δίνεται για αυτό το εργαστήριο. Εντούτοις το test bench file, πεδίο δοκιµών δεν είναι πλήρες, θα εξετάσει µόνο µερικών από τις πιθανές εισαγωγές. Θα πρέπει να προσθέσετε µερικές γραµµές κώδικα προκειµένου να εξεταστεί πλήρως το πρόγραµµα. Το Figure 1 παρουσιάζει το κύκλωµα που θα υλοποιήσετε. Στόχοι Figure 1: Το κύκλωµα που θα υλοποιήσετε Ολοκληρώνοντας αυτό το εργαστήριο, θα είστε σε θέση: Να δηµιουργείτε ένα πρόγραµµα χρησιµοποιώντας τα εργαλεία ISE Να προσδιορίζετε τα κύρια µέρη ενός κώδικα VHDL Να Γράφετε πεδία δοκιµών, test bench files, και προσοµοιώνετε ένα απλό κύκλωµα. Start Project Navigator and Create the Project Step 1 Σχεδόν όλος ο κώδικας για αυτό το πρόγραµµα παρέχεται. Στον υπολογιστή δηµιουργήστε έναν νέο κατάλογο για το πρόγραµµά σας µε το ακόλουθο σχήµα: c:\home\student_username\lab2. Τα εργαλεία ISE δεν επιτρέπουν τα διαστήµατα στο όνοµα καταλόγου, έτσι δεν θα είστε σε θέση να σώσετε το πρόγραµµά σας στο Desktop ή στo My Documents.

2 1. Κατεβαστέ τα αρχεία fewgates.vhd και fewgates _ tb.vhd από την ιστοσελίδα του µαθήµατος. Σώστε στον κατάλογο c:\home\student_username\lab2. Σιγουρευτείτε ότι τους σώζετε χωρίς την επέκταση ".txt " αλλά µια επέκταση ".vhd ". 2. Αρχίστε το πρόγραµµα Xilinx Navigator. Πηγαίνετε στο Start Menu Programs Xilinx ISE 6 -> Project Navigator. (ή µπορείτε επίσης να ψάξετε την εικόνα ISE στο Desktop). Στο παράθυρο που εµφανίζετε µπροστά σας επιλέξετε The Following user:, και στο username, γράψετε cs-ucy-ac-cy\modelsim και πατήστε OK, βλέπε Figure 2. Ξανα-πατήστε OK. Figure 2: Run As 3. ηµιουργήστε ένα νέο πρόγραµµα, project. Από τον Project Navigator, επιλέξτε File New Project. Αυτό θα φέρει ένα παράθυρο όπως αυτό που παρουσιάζεται στο Figure 3. Γράψετε fewgates ως όνοµα για το πρόγραµµά σας, µετά πατήστε στο... κουµπί για να επιλέξετε τον κατάλογό σας. Επιλέξτε HDL για Top-Level Module Type; επειδή θα εφαρµόζουµε το πρόγραµµα σε VHDL, το οποίο είναι µια περιγραφική γλώσσα υλικού, Hardware Descriptive Language (HDL). Εάν έχετε κάνει τις επιλογές σας πατήστε Next. 2

3 Figure 3: New Project Figure 4: New Project Options 3

4 4. Το επόµενο παράθυρο µας επιτρέπει να επιλέξουµε τον τύπο συσκευής, chip, που θα χρησιµοποιήσουµε στο πρόγραµµα, project. Σε αυτό το εργαστήριο θα προσοµοιώσουµε το πρόγραµµα, project µας µονό. εν θα φορτώσουµε στην συσκευή το πρόγραµµα µας. Ο τύπος συσκευών δεν πειράζει αυτή τη φορά απλώς να είναι µια συσκευή που να έχει αρκετά µεγάλος χώρο αποθήκευση για να µπορεί να αποθήκευση το πρόγραµµα µάς. Επιλέξτε τιµές όπως αυτές που παρουσιάζονται στο Figure 4, µετά πατήστε Next. 5. Σε αυτό το παράθυρο µπορούµε να δηµιουργήσουµε ένα νέο αρχείο πηγής, new source files. Στο επόµενο παράθυρο σας επιτρέπει να προσθέσετε υφιστάµενες πηγές, sources, στο πρόγραµµα, project, πατήστε Next. Figure 5: Add Source 6. Τώρα µπορούµε να προσθέσουµε τις υφιστάµενες πηγές µας στο πρόγραµµα, project. πατήστε Add Source, Figure 5. Κοιτάξτε στον κατάλογό σας και επιλέξτε fewgates.vhd και πατήστε Open, Figure 6. Στο επόµενο παράθυρο, σιγουρευτείτε ότι το VHDL Design File τονίζεται ( όπως φαίνεται στο Figure 7), πατήστε OK. 4

5 Figure 6: Add Existing Sources Figure 7: Choose Source Type 7. Προσθέστε το αρχείο fewgates_tb.vhd στο πρόγραµµά σας, project, αλλά σιγουρεύετε ότι το αρχείο VHDL Test Bench File το επιλέγετε ως τύπος πηγής όπως φαίνεται στο Figure 9. Το παράθυρο πρέπει να µοιάζει µε αυτό που παρουσιάζεται στο Figure 10. Όταν τελειώσετε µε τον να προσθέτετε τα αρχεία σας πατήστε Next. 5

6 Figure 8: Add Existing Sources Figure 9: Choose Source Type 6

7 Figure 10: Your new Project must look like this Το επόµενο παράθυρο είναι µια περίληψη όλων των ρυθµίσεων σας στο πρόγραµµα σας, project. Βεβαιωθείτε ότι είναι σωστοί και πατήστε Finish. Μπορείτε να δείτε ότι στο Project Navigator έχει δηµιουργηθεί το πρόγραµµά σας, project. Ξοδέψετε κάποιο χρόνο να αναλύσετε τον κώδικα και να καταλάβετε τι πραγµατικά κάνει. Analyze and Compile the Code Step 2 Κοιτάξετε τις πηγές, Sources, στο παράθυρο του Project στην πάνω αριστερή γωνία του παραθύρου. Προσέξτε πώς ο κώδικας πεδίων δοκιµών, test bench file, είναι κάτω από τον κύριο κώδικα, fewgates.vhd, σε ένα ιεραρχικό επίπεδο όπως φαίνεται στο Figure 11. Αυτό είναι βασισµένο στην έννοια ότι το πεδίο δοκιµών, test bench file, δεν θα εξυπηρετούσε κανέναν σκοπό χωρίς τον κύριο κώδικα. Το πεδίο δοκιµών, test bench file, χρησιµεύει µόνο να εξετάσει τον κύριο κώδικα. 7

8 Figure 11: Sources in Project Με Double-Click, επάνω fewgates-behavioral (fewgates.vhd), θα ανοίξει το αρχείο έτσι ώστε µπορείτε να κάνετε αλλαγές στο κώδικα. Προσέξτε ότι τα χρώµατα έχουν σηµασία (πράσινο για σχόλια, µπλε για τη λέξη κλειδί/τις διατηρηµένες λέξεις, κ.λπ. όπως φαίνεται στο Figure 12). Αυτό το χαρακτηριστικό µπορεί να χρησιµοποιηθεί ως τεχνική ανίχνευσης λάθους. Μπορεί µερικές φορές να βοηθήσει να βρείτε προβλήµατα στον κώδικα. Κλείστε fewgates.vhd code. Figure 12: Comments and color-codes in a VHDL file 8

9 1. Συνθέστε το πρόγραµµα, Synthesize the project; Επισκιάστε, highlight, the fewgates.vhd code (in the Sources in Project box) και επεκτείνετε το Synthesize τµήµα µέσα στο Processes for Source box and double click Check Syntax. The Syntax Check δεν πρέπει να δείξει κανένα λάθος. Για να δείτε πώς είναι όταν έχει λάθη, αλλάζετε κάποιους χαρακτήρες µέσα στο fewgates.vhd αρχείο και ξανά τρέξετε την διαδικασία. Ένα πράσινο σηµάδι ελέγχου είναι η επιβεβαίωση ότι ο έλεγχος σύνταξης ήταν επιτυχής όπως δείχνει στο Figure 13. Figure 13: Green check mark showing the process was successful. Simulate the system Step 3 Το επόµενο βήµα είναι να προσοµοιώσουµε αυτό το σχέδιο. Η προσοµοίωση µας δίνει τη δυνατότητα να εξασφαλίσει ότι ένα πρόγραµµα κάνει τι αναµένεται. 1. Για να τρέξετε την συµπεριφοριστική προσοµοίωση σας, behavioral simulation; Επισκιάστε το αρχείο fewgates_tb.vhd µέσα στο Sources in Project παράθυρο και κάντε double click πάνω Simulate Behavioral Model µέσα στο Processes for current sources παράθυρο, βλέπε Figure 14. Με αυτή την εντολή στο Xilinx software καλεί το Modelsim πρόγραµµα να τρέξει και να χρησιµοποιήσει το test bench αρχείο για να εκλέξει τον κύριο κώδικα. 9

10 Figure 14: Modelsim Simulator Με το άνοιγµα του ModelSim τέσσερα νέα παράθυρα θα εµφανιστούν. Για αυτό το εργαστήριο, µόνο το παράθυρο µε τον τίτλο wave default µα ενδιαφέρει. (µια µαύρη οθόνη µε πράσινες γραµµές). Μπορείτε να επεκτείνετε το κυµατοειδές µε το κάνετε µεγέθυνση ή πατώντας στο κουµπί που λέει Zoom Full. Figure 15: Waveforms Τώρα µπορεί να φανεί ότι οποτεδήποτε το a και b είναι low ή c είναι high, y θα είναι high. Αυτό θα µπορούσε να θεωρηθεί από την άποψη των τάσεων µε lower line να είναι 0 volts και η ανυψωµένη γραµµή όντας 5 volts. Πατήστε οπουδήποτε στο κυµατοειδές. Αυτό θα δηµιουργήσει έναν δροµέα που µπορεί να κινηθεί κατά µήκος τις κυµατοµορφής, παρουσιάζοντας τις τιµές τον εισόδων και εξόδων σε διάφορους χρόνους. 10

Introduction IP Cores

Introduction IP Cores Introduction IP Cores Introduction Ένας hardware engineer έχει στα χέρια του πολύ ισχυρά εργαλεία που µπορούν να απλοποιήσουν το στόχο του σχεδίου υλικού. Μεταξύ αυτών των εργαλείων είναι και το IP core,

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

Οδηγίες για την εγκατάσταση του πακέτου Cygwin

Οδηγίες για την εγκατάσταση του πακέτου Cygwin Οδηγίες για την εγκατάσταση του πακέτου Cygwin Ακολουθήστε τις οδηγίες που περιγράφονται σε αυτό το file μόνο αν έχετε κάποιο laptop ή desktop PC που τρέχουν κάποιο version των Microsoft Windows. 1) Copy

Διαβάστε περισσότερα

Εισαγωγή στη σχεδιαστική ροή της Xilinx

Εισαγωγή στη σχεδιαστική ροή της Xilinx Πανεπιστήμιο Κρήτης, Τμήμα Επιστήμης Υπολογιστών HY220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2014-2015 Εισαγωγή στη σχεδιαστική ροή της Xilinx ISE Design Suite 14.7 Στόχοι.. 1. Δημιουργία project

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων. 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων. 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 1 ΣΤΟΧΟΙ: 1. Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων (Microsoft Excel) 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων 3. Δημιουργία Νέου Υπολογιστικού Φύλλου 4. Δημιουργία Υπολογιστικού Φύλλου

Διαβάστε περισσότερα

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο 2011-2012 Διδάσκων: Γιώργος Ζάγγουλος Βοήθημα για το Πρόγραμμα Modelsim-Altera και την χρησιμοποίηση του μέσα από το Quartus για εκτέλεση

Διαβάστε περισσότερα

Εκπαιδευτικό Ρομπότ ΝΧΤ (REM Bot)

Εκπαιδευτικό Ρομπότ ΝΧΤ (REM Bot) akrob.frederick.ac.cy ΠΑΝΕΠΙΣΤΗΜΙΟ FREDERICK frederick.ac.cy Εκπαιδευτικό Ρομπότ ΝΧΤ (REM Bot) Κατασκευή & Firmware Δρ Γιώργος Α. Δημητρίου Ακαδημία Ρομποτικής Τμήμα Πληροφορικής και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 1 ΣΤΟΧΟΙ: 1. Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου (Microsoft Word) 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου 3. Δημιουργία Νέου Εγγράφου 4. Δημιουργία Εγγράφου Βασισμένο Σε Πρότυπο 5. Κλείσιμο

Διαβάστε περισσότερα

Introduction to Sequence Detectors and CPLDs

Introduction to Sequence Detectors and CPLDs Introduction to Sequence Detectors and CPLDs Introduction Σε αυτό το εργαστήριο θα κάνουµε µια επανάληψη στο πώς δηµιουργούµε και συντάσσουµε ένα project για την programmable logic, προγραµµατισµένη λογική

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙ ΙΟ ΧΡΗΣΗΣ ΛΟΓΙΣΜΙΚΟΥ E-LEARNING - 2 -

ΕΓΧΕΙΡΙ ΙΟ ΧΡΗΣΗΣ ΛΟΓΙΣΜΙΚΟΥ E-LEARNING - 2 - - 2 - ΕΓΧΕΙΡΙ ΙΟ ΧΡΗΣΗΣ ΛΟΓΙΣΜΙΚΟΥ E-LEARNING Περιεχόµενα Εγκατάσταση λογισµικού Οθόνη καλωσορίσµατος στην εγκατάσταση...4 Πληροφορίες ιδρύµατος και λογισµικού...5 ηµιουργία συντόµευσης στο µενού έναρξης

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1: TO ΠΕΡΙΒΑΛΛΟΝ ΕΡΓΑΣΙΑΣ DEV-C++

ΑΣΚΗΣΗ 1: TO ΠΕΡΙΒΑΛΛΟΝ ΕΡΓΑΣΙΑΣ DEV-C++ ΑΣΚΗΣΗ 1: TO ΠΕΡΙΒΑΛΛΟΝ ΕΡΓΑΣΙΑΣ DEV-C++ Σκοπός της Άσκησης Ο σκοπός αυτής της εργαστηριακής άσκησης είναι η εξοικείωση με τη χρήση του περιβάλλοντος Dev C++ το οποίο θα χρησιμοποιηθεί για την υλοποίηση

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

Εγκατάσταση. Εγκατάσταση του Wamp

Εγκατάσταση. Εγκατάσταση του Wamp Εγκατάσταση Εγκατάσταση του Wamp Η εγκατάσταση χωρίζεται σε δύο µέρη. Πρώτα θα εγκαταστήσουµε το Wamp, ώστε να µετατρέψουµε τον υπολογιστή µας σε Web Server και µετά θα εγκαταστήσουµε το Joomla. Η εγκατάσταση

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler

Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler ΣΚΟΠΟΙ Σε αυτήν την άσκηση: Θα φτιάξουμε μικρά ασύρματα δίκτυα Θα επιλέξουμε ποια δεδομένα θα συλλέξουμε Θα τρέξουμε την προσομείωση Θα αναλύσουμε

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 16. Χρησιμοποιώντας τον Αποσφαλματιστή (Debugger) του Eclipse

ΕΡΓΑΣΤΗΡΙΟ 16. Χρησιμοποιώντας τον Αποσφαλματιστή (Debugger) του Eclipse ΕΡΓΑΣΤΗΡΙΟ 16 Χρησιμοποιώντας τον Αποσφαλματιστή (Debugger) του Eclipse 1. Δημιουργήστε ένα νέο project και ενσωματώστε το πρόγραμμα Fibonacci.java. 2. Βεβαιωθείτε ότι μεταγλωττίζει σωστά. Σχήμα 1: Adding

Διαβάστε περισσότερα

Δημιουργία μιας εφαρμογής Java με το NetBeans

Δημιουργία μιας εφαρμογής Java με το NetBeans Δημιουργία μιας εφαρμογής Java με το NetBeans Για να δημιουργήσετε μια εφαρμογή Java πρέπει να ακολουθήσετε τα εξής βήματα : Αρχικά πρέπει να δημιουργηθεί ένα project το οποίο θα περιέχει όλα τα αρχεία

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

Ελάτε τώρα στην άµεση τεχνική υποστήριξη ηλεκτρονικών υπολογιστών

Ελάτε τώρα στην άµεση τεχνική υποστήριξη ηλεκτρονικών υπολογιστών Ελάτε τώρα στην άµεση τεχνική υποστήριξη ηλεκτρονικών υπολογιστών Ο νέος σύγχρονος τρόπος επικοινωνίας (Remote Support) µέσω internet φέρνει ποιο κοντά τον χρήστη υπολογιστή που έχει πρόβληµα µε τον υπεύθυνο

Διαβάστε περισσότερα

X-Order Client: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό

X-Order Client: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό X-Order Client: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό Έκδοση : 1.4 21/09/2010 Copyright 2010 - Χρηματιστήριο Αθηνών Α.Ε. ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ 1 ΕΙΣΑΓΩΓΗ...2 1.1 Πρόλογος...2 1.2 Σκοπός...2

Διαβάστε περισσότερα

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ http://h2056wwwhp.com/portal/site/hpsc/template.page/public/psi... 1 of 5 1/7/2014 2:09 μμ Για το σπίτι Για την επιχείρηση Υποστήριξη Είσοδος Εγγραφή Βοήθεια ιστότοπου HP Color LaserJet 3600 Printer series

Διαβάστε περισσότερα

Συγχρηµατοδοτούµενο από το ΥΠΕΠΘ και την Ευρωπαϊκή Ένωση

Συγχρηµατοδοτούµενο από το ΥΠΕΠΘ και την Ευρωπαϊκή Ένωση Συγχρηµατοδοτούµενο από το ΥΠΕΠΘ και την Ευρωπαϊκή Ένωση ΣΥΝ ΕΣΗ ΜΕ ΤΟ ΙΚΤΥΟ Ε ΟΜΕΝΩΝ ΜΕΣΩ MODEM Το Κέντρο Λειτουργίας και ιαχείρισης ικτύου του Πανεπιστηµίου Αθηνών παρέχει τη δυνατότητα Dial-up σύνδεσης

Διαβάστε περισσότερα

Εγκατάσταση λογισμικού και αναβάθμιση συσκευής Device software installation and software upgrade

Εγκατάσταση λογισμικού και αναβάθμιση συσκευής Device software installation and software upgrade Για να ελέγξετε το λογισμικό που έχει τώρα η συσκευή κάντε κλικ Menu > Options > Device > About Device Versions. Στο πιο κάτω παράδειγμα η συσκευή έχει έκδοση λογισμικού 6.0.0.546 με πλατφόρμα 6.6.0.207.

Διαβάστε περισσότερα

2.1 Σύνδεση Εξωτερικής Συσκευής στο IDE

2.1 Σύνδεση Εξωτερικής Συσκευής στο IDE 2.1 Σύνδεση Εξωτερικής Συσκευής στο IDE Κατά την ανάπτυξη μιας εφαρμογής είναι σημαντικό να ελέγχετε την λειτουργικότητά της σε μια συσκευή, πριν την δημοσιεύσετε στους χρήστες. Ένα πολύ βολικό σύστημα,

Διαβάστε περισσότερα

Οδηγός Χρήσης της Υπηρεσίας Τηλεομοιότυπου (RightFax Fax Service) Web Utility. (διαδικτυακή εφαρμογή)

Οδηγός Χρήσης της Υπηρεσίας Τηλεομοιότυπου (RightFax Fax Service) Web Utility. (διαδικτυακή εφαρμογή) ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΟΜΕΑΣ ΔΙΚΤΥΩΝ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Οδηγός Χρήσης της Υπηρεσίας Τηλεομοιότυπου (RightFax Fax Service) Web Utility (διαδικτυακή εφαρμογή) Αύγουστος 2011 ΠΕΡΙΕΧΟΜΕΝΑ 1. Πρόσβαση

Διαβάστε περισσότερα

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7 16 Νοεμβρίου 2012 Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7 Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Σάββας Νικηφόρου Version Number Πίνακας

Διαβάστε περισσότερα

Σύντοµο Εγχειρίδιο Χρήσης. του Λογισµικού Στατιστικής Επεξεργασίας. SPSS for Windows v. 8.0

Σύντοµο Εγχειρίδιο Χρήσης. του Λογισµικού Στατιστικής Επεξεργασίας. SPSS for Windows v. 8.0 Εθνικό & Καποδιστριακό Πανεπιστήµιο Αθηνών Τµήµα Μεθοδολογίας, Ιστορίας & Θεωρίας της Επιστήµης ιαπανεπιστηµιακό Πρόγραµµα Μεταπτυχιακών Σπουδών «Βασική και Εφαρµοσµένη Γνωσιακή Επιστήµη» Σύντοµο Εγχειρίδιο

Διαβάστε περισσότερα

Εισαγωγή στο Εργαστήριο Υλικού

Εισαγωγή στο Εργαστήριο Υλικού ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στο Εργαστήριο Υλικού Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

Computing and Information Systems Service. Windows XP

Computing and Information Systems Service. Windows XP Windows XP Όλοι οι υπολογιστές ανοικτής πρόσβασης στο Frederick χρησιµοποιούν Windows (2000 και XP), ένα λειτουργικό σύστηµα µε Γραφικό Περιβάλλον Εργασίας (Graphical User Interface), το οποίο χρησιµοποιεί

Διαβάστε περισσότερα

ΤΕΙ Ιονίων Νήσων Εργαστηριακές Ασκήσεις στα Γεωγραφικά Συστήματα Πληροφοριών

ΤΕΙ Ιονίων Νήσων Εργαστηριακές Ασκήσεις στα Γεωγραφικά Συστήματα Πληροφοριών ΕΡΓΑΣΤΗΡΙΟ 2 ο : Εισαγωγή στα Γεωγραφικά Συστήματα Πληροφοριών ArcMap (2/2) Μέρος 1: (συνέχεια από τα προηγούμενα) Κάνουμε κλικ το εικονίδιο Add Data στην γραμμή εργαλείων standard και επιλέγουμε το αρχείο/τα

Διαβάστε περισσότερα

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS 6, Mac OS, IOS, και Android

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS 6, Mac OS, IOS, και Android 4 Δεκεμβρίου 2012 Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Σάββας Νικηφόρου Version 0.1

Διαβάστε περισσότερα

ΔΗ Μ Ι Ο ΥΡ Γ Ι Α W I K I με τ η χρήση τ η ς υπ ηρεσίας h t t p : /www.wik id ot.com /

ΔΗ Μ Ι Ο ΥΡ Γ Ι Α W I K I με τ η χρήση τ η ς υπ ηρεσίας h t t p : /www.wik id ot.com / ΔΗ Μ Ι Ο ΥΡ Γ Ι Α W I K I με τ η χρήση τ η ς υπ ηρεσίας h t t p : /www.wik id ot.com / 1. Τι είναι το wikidot Το wikidot είναι ένας δικτυακός τόπος στον οποίο κάθε χρήστης έχει το δικαίωμα να δημιουργήσει

Διαβάστε περισσότερα

Τώρα ήρθε η ώρα για να αποκτήσετε το βασικό εργαλείο ανάπτυξης web εφαρμογών το GGTS.

Τώρα ήρθε η ώρα για να αποκτήσετε το βασικό εργαλείο ανάπτυξης web εφαρμογών το GGTS. Groovy and Grails Tool Suite Τώρα ήρθε η ώρα για να αποκτήσετε το βασικό εργαλείο ανάπτυξης web εφαρμογών το GGTS. Πηγαίνετε στο παρακάτω link, GGTS: http://spring.io/tools/ggts Επιλέξτε να δείτε όλες

Διαβάστε περισσότερα

Πως θα κατασκευάσω το πρώτο πρόγραμμα;

Πως θα κατασκευάσω το πρώτο πρόγραμμα; Εργαστήριο Δομημένος Προγραμματισμός (C#) Τμήμα Μηχανολογίας Νικόλαος Ζ. Ζάχαρης Καθηγητής Εφαρμογών Σκοπός Να γίνει εξοικείωση το μαθητών με τον ΗΥ και το λειτουργικό σύστημα. - Επίδειξη του My Computer

Διαβάστε περισσότερα

Οδηγίες κατασκευής Ιστοσελίδων µε το πρόγραµµα FRONTPAGE 2003

Οδηγίες κατασκευής Ιστοσελίδων µε το πρόγραµµα FRONTPAGE 2003 Οδηγίες κατασκευής Ιστοσελίδων µε το πρόγραµµα FRONTPAGE 2003 Μάθετε σε 10 βήµατα να φτιάχνετε τις δικές σας ιστοσελίδες Βήµα 1ο ΕΕππιιµµέέλλεειιαα:: ΣΣάάββββααςς ΟΟββααδδίίααςς Σε αυτό το βήµα θα χρησιµοποιήσετε

Διαβάστε περισσότερα

2. Κάντε κλικ στο παράθυρο όψης Top για να το ενεργοποιήσετε, ώστε να σχεδιάσετε το πάτωµα του δωµατίου.

2. Κάντε κλικ στο παράθυρο όψης Top για να το ενεργοποιήσετε, ώστε να σχεδιάσετε το πάτωµα του δωµατίου. Άσκηση 7 Σύνθετα Αντικείµενα Στόχος της άσκησης Στόχος της παρούσας άσκησης είναι η εξοικείωση µε τη δηµιουργία σύνθετων αντικειµένων που δηµιουργούνται από τον συνδυασµό δύο ή περισσότερων τρισδιάστατων

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ ΣΤΟ ΑΣΥΡΜΑΤΟ ΔΙΚΤΥΟ eduroam

ΟΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ ΣΤΟ ΑΣΥΡΜΑΤΟ ΔΙΚΤΥΟ eduroam ΟΙΚΟΝΟΜΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΚΕΝΤΡΟ ΔΙΑΧΕΙΡΙΣΗΣ ΔΙΚΤΥΩΝ Πατησίων 76, ΑΘΗΝΑ 104 34 - Tηλ.. 210 8203900 - Fax. 210 8203909 e-mail: noc@aueb.gr ΟΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ ΣΤΟ ΑΣΥΡΜΑΤΟ ΔΙΚΤΥΟ eduroam To eduroam είναι

Διαβάστε περισσότερα

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE Η διαδικασία μεταφοράς του υλικού ενός μαθήματος από την πλατφόρμα Blackboard Vista στην πλατφόρμα Moodle σε βήματα είναι η ακόλουθη:

Διαβάστε περισσότερα

ΚΕΝΤΡΟ ΑΝΑΠΤΥΞΗΣ ΚΑΙ ΙΑΧΕΙΡΙΣΗΣ ΙΚΤΥΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ Ο ΗΓΟΣ ΧΡΗΣΗΣ ΕΓΚΑΤΑΣΤΑΣΗ DIALUP NETWORKING ΣΕ MICROSOFT WINDOWS XP

ΚΕΝΤΡΟ ΑΝΑΠΤΥΞΗΣ ΚΑΙ ΙΑΧΕΙΡΙΣΗΣ ΙΚΤΥΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ Ο ΗΓΟΣ ΧΡΗΣΗΣ ΕΓΚΑΤΑΣΤΑΣΗ DIALUP NETWORKING ΣΕ MICROSOFT WINDOWS XP ΚΕΝΤΡΟ ΑΝΑΠΤΥΞΗΣ ΚΑΙ ΙΑΧΕΙΡΙΣΗΣ ΙΚΤΥΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ Ο ΗΓΟΣ ΧΡΗΣΗΣ ΕΓΚΑΤΑΣΤΑΣΗ DIALUP NETWORKING ΣΕ MICROSOFT WINDOWS XP Ο Ο ΗΓΟΣ ΧΡΗΣΗΣ ΗΜΙΟΥΡΓΗΘΗΚΕ ΑΠΟ ΤΟ ΚΕΝΤΡΟ ΑΝΑΠΤΥΞΗΣ ΚΑΙ ΙΑΧΕΙΡΙΣΗΣ ΙΚΤΥΩΝ

Διαβάστε περισσότερα

Α)Εγκατάσταση του προγράµµατος

Α)Εγκατάσταση του προγράµµατος Α)Εγκατάσταση του προγράµµατος 1)Βασική προυπόθεση για την εγκατάσταση της εφαρµογής σε pda που έχουν λειτουργικό σύστηµα windows mobile είναι η εγκατάσταση στον τοπικό υπολογιστή µας του προγράµµατος

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ 130 : Ψηφιακή σχεδίαση Βόλος 2015 1 Εισαγωγή Το Multisim είναι ένα ολοκληρωμένο περιβάλλον προσομοίωσης της συμπεριφοράς

Διαβάστε περισσότερα

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe Εργασία στα Ψηφιακά Ηλεκτρονικά Συστήματα 2013-2014 Θέμα: Κατασκευή και Ανάλυση Μετρητή 4-bit και Πλήρους Αθροιστή σε περιβάλλον VHDL Ονοματεπώνυμο: Αλέξανδρος Γεώργιος Μουντογιαννάκης Σχολή: Τμήμα Επιστήμης

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ FRONT PAGE 3

ΕΡΓΑΣΤΗΡΙΟ FRONT PAGE 3 ΕΡΓΑΣΤΗΡΙΟ FRONT PAGE 3 Φόρµες Ένας τρόπος για να συλλέξετε πληροφορία από τους επισκέπτες του δικτυακού σας τόπου είναι οι φόρµες. Με τα εξειδικευµένα αυτά εργαλεία µπορείτε να κάνετε έρευνες ή τεστ,

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C Στο εργαστήριο αυτό, θα ασχοληθούμε με δύο προγραμματιστικά περιβάλλοντα της γλώσσας C, το Dev-C++, το οποίο είναι εφαρμογή που τρέχει

Διαβάστε περισσότερα

Κατασκευή λογισµικού: ηµήτριος Χάρµπας Πυθαγόρα 24, Χολαργός Τηλ: ηλ-ταχ: Οδηγίες εγκατάστασης

Κατασκευή λογισµικού: ηµήτριος Χάρµπας Πυθαγόρα 24, Χολαργός Τηλ: ηλ-ταχ:   Οδηγίες εγκατάστασης Οδηγίες εγκατάστασης Κατασκευή λογισµικού: ηµήτριος Χάρµπας Πυθαγόρα 24, 155 62 Χολαργός Τηλ: 210 65 38 215 ηλ-ταχ: ggcad95@gmail.com, www.ggcad.gr Π Ρ Ο Σ Ο Χ Η Αν το κλειδί (Hasp) συνδέεται στην παράλληλη

Διαβάστε περισσότερα

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Ενότητα : Εισαγωγή στο εργαλείο προσομοίωσης δικτύων Riverbed Modeler - Προσομοίωση δικτύου Ethernet. Όνομα Καθηγητή : Δημήτριος Λυμπερόπουλος, Σπύρος Δενάζης Τμήμα

Διαβάστε περισσότερα

Microsoft PowerPoint 2010 Πανεπιστήμιο Κύπρου

Microsoft PowerPoint 2010 Πανεπιστήμιο Κύπρου Microsoft PowerPoint 2010 Πανεπιστήμιο Κύπρου Ιούλιος 2017 Copyright 2017 Πανεπιστήμιο Κύπρου. Όλα τα πνευματικά δικαιώματα κατοχυρωμένα. Δημιουργός: Λευτέρης Γ. Ζαχαρία Πίνακας Περιεχομένων 1. Εισαγωγή....

Διαβάστε περισσότερα

ΡΥΘΜΙΣΕΙΣ ΓΙΑ ΔΥΝΑΜΙΚΟ IP

ΡΥΘΜΙΣΕΙΣ ΓΙΑ ΔΥΝΑΜΙΚΟ IP Βήμα 1 ο : Δημιουργία Λογαριασμού DynDNS ΡΥΘΜΙΣΕΙΣ ΓΙΑ ΔΥΝΑΜΙΚΟ IP 1. Μεταβείτε στην σελίδα https://www.dyndns.com 2. Κάντε κλικ στο Create Account 3. Συμπληρώστε την φόρμα με τα απαραίτητα στοιχεία 4.

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΥΠΣ-ΕΔ/97 11/01/2017 Περιεχόμενα

Διαβάστε περισσότερα

Βήμα 1ο. Συνδεθείτε στο σύστημα διαχείρισης του Joomla ιστοχώρου σας. Η διεύθυνση θα είναι:

Βήμα 1ο. Συνδεθείτε στο σύστημα διαχείρισης του Joomla ιστοχώρου σας. Η διεύθυνση θα είναι: Βήμα 1ο Συνδεθείτε στο σύστημα διαχείρισης του Joomla ιστοχώρου σας. Η διεύθυνση θα είναι: http://www.onoma_site.gr/administrator και δώστε το όνομα χρήστη και τον κωδικό σας για τη διαχείριση. Βήμα 2ο

Διαβάστε περισσότερα

1. Ανοίξτε το 3D Studio MAX ή επιλέξτε File Reset. ηµιουργήστε µια σφαίρα µε κέντρο την αρχή των αξόνων και ακτίνα 20 µονάδων.

1. Ανοίξτε το 3D Studio MAX ή επιλέξτε File Reset. ηµιουργήστε µια σφαίρα µε κέντρο την αρχή των αξόνων και ακτίνα 20 µονάδων. Άσκηση 5 η Κλωνοποίηση Αντικειµένων Στόχος της άσκησης Σην παρούσα άσκηση θα δούµε πως µπορούµε να επιτύχουµε την κλωνοποίηση αντικειµένων στο 3D Studio Max, δηλαδή να δηµιουργήσουµε πανοµοιότυπα αντίγραφα

Διαβάστε περισσότερα

Εισαγωγή στη Verilog με το ISE

Εισαγωγή στη Verilog με το ISE Εισαγωγή στη Verilog με το ISE Πατάμε new project Δίνουμε όνομα και κατάλογο όπου θα αποθηκευτεί το project. Next όπου επιλέγουμε chip και preferred language βάζουμε Verilog Next και στο Create new source

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Θετικών Επιστημών και Τεχνολογίας Τμήμα Επιστήμης και Τεχνολογίας Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Αρχές Τηλ/ων Συστημάτων Εργαστήριο 2 ο : Φάσμα σημάτων - AWGN Βοηθητικές

Διαβάστε περισσότερα

ΕΓΚΑΤΑΣΤΑΣΗ ΣΥΝ ΕΣΗΣ DIAL-UP ΣΕ ΛΕΙΤΟΥΡΓΙΚΟ ΣΥΣΤΗΜΑ WINDOWS XP

ΕΓΚΑΤΑΣΤΑΣΗ ΣΥΝ ΕΣΗΣ DIAL-UP ΣΕ ΛΕΙΤΟΥΡΓΙΚΟ ΣΥΣΤΗΜΑ WINDOWS XP ΕΓΚΑΤΑΣΤΑΣΗ ΣΥΝ ΕΣΗΣ DIAL-UP ΣΕ ΛΕΙΤΟΥΡΓΙΚΟ ΣΥΣΤΗΜΑ WINDOWS XP ιαδικασία δικτύωσης PC µέσω modem Στις επόµενες παραγράφους περιγράφεται αναλυτικά η διαδικασία που χρειάζεται να ακολουθήσετε προκειµένου

Διαβάστε περισσότερα

Περιεχόμενα. Λίγα λόγια από το συγγραφέα... 7 Κεφάλαιο 1: Windows XP Κεφάλαιο 2: Επιφάνεια εργασίας (desktop)... 15

Περιεχόμενα. Λίγα λόγια από το συγγραφέα... 7 Κεφάλαιο 1: Windows XP Κεφάλαιο 2: Επιφάνεια εργασίας (desktop)... 15 Περιεχόμενα Λίγα λόγια από το συγγραφέα... 7 Κεφάλαιο 1: Windows XP... 9 Κεφάλαιο 2: Επιφάνεια εργασίας (desktop)... 15 Κεφάλαιο 3: Γραμμή εργασιών (taskbar)... 26 Κεφάλαιο 4: Χειρισμός παραθύρων... 44

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C Στο εργαστήριο αυτό, θα ασχοληθούμε με δύο προγραμματιστικά περιβάλλοντα της γλώσσας C, το Dev-C++, το οποίο είναι εφαρμογή που τρέχει

Διαβάστε περισσότερα

Προετοιμασία σύνδεσης του modem. Εγκατάσταση του Modem

Προετοιμασία σύνδεσης του modem. Εγκατάσταση του Modem ΕΓΚΑΤΑΣΤΑΣΗ ΣΥΝΔΕΣΗΣ DIAL-UP ΣΕ ΛΕΙΤΟΥΡΓΙΚΟ ΣΥΣΤΗΜΑ WINDOWS XP Διαδικασία δικτύωσης PC μέσω modem Στις επόμενες παραγράφους περιγράφεται αναλυτικά η διαδικασία που χρειάζεται να ακολουθήσετε προκειμένου

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 9 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Οδηγός χρηστών VPN Τμήμα Πληροφορικής Πανεπιστήμιο Κύπρου

Οδηγός χρηστών VPN Τμήμα Πληροφορικής Πανεπιστήμιο Κύπρου Οδηγός χρηστών VPN Τμήμα Πληροφορικής Πανεπιστήμιο Κύπρου 1 Σεπτεμβρίου 2006 (έκδοση 1.0) (καινούργιες εκδόσεις του «Οδηγού καινούργιων χρηστών» τοποθετούνται στην ιστοσελίδα του Τμήματος στο http://www.cs.ucy.ac.cy/computing)

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C Στο εργαστήριο αυτό, θα ασχοληθούμε με δύο προγραμματιστικά περιβάλλοντα για τη γλώσσα C: τον gcc μεταγλωττιστή της C σε περιβάλλον

Διαβάστε περισσότερα

Data Focus Business Solutions σελ. 1/10

Data Focus Business Solutions σελ. 1/10 Data Focus Business Solutions σελ. 1/10 1. ΕΓΚΑΤΑΣΤΑΣΗ ΕΦΑΡΜΟΓΗΣ Data Focus Κάθε εφαρµογή της Data Focus, δεδοµένου του ότι είναι πλήρως ανεπτυγµένη σε παραθυρικό περιβάλλον, συνοδεύεται από ένα CD εγκατάστασης.

Διαβάστε περισσότερα

WORDPRESS. Εικόνα 1. Πατώντας στη «Σύνδεση» γράψτε το Username (όνομα χρήστη) και το Password (συνθηματικό) (εικόνα 2) που σας έδωσε ο διαχειριστής

WORDPRESS. Εικόνα 1. Πατώντας στη «Σύνδεση» γράψτε το Username (όνομα χρήστη) και το Password (συνθηματικό) (εικόνα 2) που σας έδωσε ο διαχειριστής WORDPRESS Το παρόν εγχειρίδιο είναι μία προσπάθεια για τους συναδέλφους εκπαιδευτικούς αλλά και μαθητές για την δημοσίευση άρθρων. Για παραλήψεις, λάθη ή κάτι το δυσνόητο παρακαλώ ενημερώστε με ώστε να

Διαβάστε περισσότερα

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Δημήτρης Κωνσταντίνου, Γιώργος Δημητρακόπουλος Εφόσον έχουμε περιγράψει το κύκλωμά μας σε System Verilog θα πρέπει να βεβαιωθούμε πως λειτουργεί

Διαβάστε περισσότερα

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο εργαστήριο Υλικού Εβδοµάδα: 2 1 Στόχοι Εργαστηρίου Μετην ολοκλήρωση αυτού του εργαστηρίου, θα πρέπει να γνωρίζετε: 1. Τη διαδικασία που ακολουθείται για

Διαβάστε περισσότερα

Μεταγωγή Τοπικής Κλίμακας

Μεταγωγή Τοπικής Κλίμακας Εργαστήριο 4 ΑΤΕΙ ΘΕΣΣΑΛΟΝΙΚΗΣ - ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΤΗΛΕΠΙΚΟΙΝΩΝΙΕΣ ΚΑΙ ΔΙΚΤΥΑ Η/Υ Μεταγωγή Τοπικής Κλίμακας Στόχος Αυτό το εργαστήριο σχεδιάστηκε για να δείξει την υλοποίηση ενός μεταγώγιμου

Διαβάστε περισσότερα

Sricam R CONCEPTUM. SricamPC. Εγχειρίδιο εφαρμογής

Sricam R CONCEPTUM. SricamPC. Εγχειρίδιο εφαρμογής Sricam Sricam Sricam Sricam Εγχειρίδιο εφαρμογής SricamPC 1. Τι μπορείτε να κάνετε με το SricamPC Το SricamPC είναι δωρεάν λογισμικό συμβατό με Microsoft Windows 7, 8 και 10. Το SricamPC σας επιτρέπει:

Διαβάστε περισσότερα

Ράβδος Εργαλείων, σχεδόν τα ίδια εργαλεία και εικονίδια υπάρχουν όπως στα άλλα προγράμματα που έχετε μάθει μέχρι σήμερα.

Ράβδος Εργαλείων, σχεδόν τα ίδια εργαλεία και εικονίδια υπάρχουν όπως στα άλλα προγράμματα που έχετε μάθει μέχρι σήμερα. Σαχπατζίδης Αβραάμ Καθηγητής Πληροφορικής Π.Ε 20 Master of Arts (M.A) in "Gender, New Forms of Education, New Forms of Employment and New Technologies in the Information Age". Η Γλώσσα Προγραμματισμού

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 2 (2 εβδοµάδες) Εβδοµάδα 27/11 έως 01/12 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

BloodShed Dev C++ Οδηγίες Χρήσης (Συγγραφέας: Πάρις Πολύζος)

BloodShed Dev C++ Οδηγίες Χρήσης (Συγγραφέας: Πάρις Πολύζος) BloodShed Dev C++ Οδηγίες Χρήσης (Συγγραφέας: Πάρις Πολύζος) Σκοπός του οδηγού αυτού είναι να σας εξοικειώσει µε το BloodShed Dev C++, ένα από τα περιβάλλοντα που µπορείτε να χρησιµοποιήσετε στα πλαίσια

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης Java σε προσωπικό υπολογιστή

Οδηγίες εγκατάστασης και χρήσης Java σε προσωπικό υπολογιστή Οδηγίες εγκατάστασης και χρήσης Java σε προσωπικό υπολογιστή Οι οδηγίες που ακολουθούν περιγράφουν την εγκατάσταση και τη χρήση (compile & run) της Java για τον προσωπικό σας υπολογιστή. Windows (10, 8.1,

Διαβάστε περισσότερα

SPSS Statistical Package for the Social Sciences

SPSS Statistical Package for the Social Sciences SPSS Statistical Package for the Social Sciences Ξεκινώντας την εφαρμογή Εισαγωγή εδομένων Ορισμός Μεταβλητών Εισαγωγή περίπτωσης και μεταβλητής ιαγραφή περιπτώσεων ή και μεταβλητών ΣΤΑΤΙΣΤΙΚΗ Αθανάσιος

Διαβάστε περισσότερα

1. Εγκατάσταση του NetMeeting

1. Εγκατάσταση του NetMeeting Οδηγίες Εγκατάστασης και Χρήσης του Netmeeting Περιεχόµενα 1. Εγκατάσταση του Netmeeting 2. Το Interface του Netmeeting 3. Κύρια Κουµπιά Netmeeting 4. Πραγµατοποίηση Κλήσεων - Συνδέσεων 5. Το Μενού του

Διαβάστε περισσότερα

Εγχειρίδιο χρήσης Intalio Designer Εγχειρίδιο χρήσης Intalio Designer

Εγχειρίδιο χρήσης Intalio Designer Εγχειρίδιο χρήσης Intalio Designer Εγχειρίδιο χρήσης Intalio Designer Σελίδα 1 Copyright Copyright 2007 Atlantis Group. Ιστορικό εγγράφου Έκδοση Εγχειριδίου: 1.0 Ημερομηνία δημιουργίας: 26/11/2007 Σελίδα 2 ΠΕΡΙΕΧΟΜΕΝΑ 1 Εισαγωγή... 4 2

Διαβάστε περισσότερα

1. O FrontPage Explorer

1. O FrontPage Explorer 1. O FrontPage Explorer Στο παρελθόν, ο δηµιουργός µιας θέσης Ιστού έπρεπε να παρακολουθεί µόνος του τον τρόπο σύνδεσης των σελίδων του. Με το FrontPage Explorer µπορείτε να δηµιουργείτε ιστούς και να

Διαβάστε περισσότερα

Άσκηση 6 Σύνθετα Αντικείµενα. Στόχος της άσκησης

Άσκηση 6 Σύνθετα Αντικείµενα. Στόχος της άσκησης Άσκηση 6 Σύνθετα Αντικείµενα Στόχος της άσκησης Στόχος της παρούσας άσκησης είναι η εξοικείωση µε τη δηµιουργία σύνθετων αντικειµένων που δηµιουργούνται από τον συνδυασµό δύο ή περισσότερων τρισδιάστατων

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα 1. Στόχοι 3

Διαβάστε περισσότερα

Τμήμα Τεχνολογίας Πληροφορικής και Τηλεπικοινωνιών. Βαρτζιώτης Φώτης. ΠΡΟΧΩΡΗΜΕΝΑ ΘΕΜΑΤΑ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΔΙΚΤΥΩΝ ] Εργαστήριο 2

Τμήμα Τεχνολογίας Πληροφορικής και Τηλεπικοινωνιών. Βαρτζιώτης Φώτης. ΠΡΟΧΩΡΗΜΕΝΑ ΘΕΜΑΤΑ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΔΙΚΤΥΩΝ ] Εργαστήριο 2 2012 Τμήμα Τεχνολογίας Πληροφορικής και Τηλεπικοινωνιών Βαρτζιώτης Φώτης [ ΠΡΟΧΩΡΗΜΕΝΑ ΘΕΜΑΤΑ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΔΙΚΤΥΩΝ ] Εργαστήριο 2 Περιεχόμενα Εργαστήριο 2: LAN διασυνδεδεμένα με Διακόπτη (Switch)...

Διαβάστε περισσότερα

Οδηγίες για την Διαδικασία αποθήκευσης στοιχείων ελέγχου πινάκων για επίλυση θέματος Οριοθέτησης.

Οδηγίες για την Διαδικασία αποθήκευσης στοιχείων ελέγχου πινάκων για επίλυση θέματος Οριοθέτησης. Οδηγίες για την Διαδικασία αποθήκευσης στοιχείων ελέγχου πινάκων για επίλυση θέματος Οριοθέτησης. 1. SMART BOARD SERIAL NUMBER: Ο σειριακός αριθμός του Διαδραστικού πίνακα βρίσκεται στην δεξιά πλαϊνή μεριά

Διαβάστε περισσότερα

0 Οδηγίες σύνδεσης στο Π.Σ. - Λειτουργικό Σύστηµα Win XP 1 2 ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ 1. Εισαγωγή... 4 2. Σύνδεση του netmod µε τον Η/Υ... 5 3. Εγκατάσταση του netmod σε windows XP... 8 4 Οδηγίες σύνδεσης

Διαβάστε περισσότερα

VHDL Introduction. Subtitle

VHDL Introduction. Subtitle VHDL Introduction Subtitle Getting Started VHDL means Very Hard Difficult Language That s a lie!!! τα αρχικά VHDL είναι συντομογραφία του VHSIC Hardware Description Language, ενώ το VHSIC αντιπροσωπεύει

Διαβάστε περισσότερα

ΚΕΝΤΡΟ ΑΝΑΠΤΥΞΗΣ ΚΑΙ ΙΑΧΕΙΡΙΣΗΣ ΙΚΤΥΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ Ο ΗΓΟΣ ΧΡΗΣΗΣ ΕΓΚΑΤΑΣΤΑΣΗ DIALUP NETWORKING ΣΕ MICROSOFT WINDOWS 2000

ΚΕΝΤΡΟ ΑΝΑΠΤΥΞΗΣ ΚΑΙ ΙΑΧΕΙΡΙΣΗΣ ΙΚΤΥΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ Ο ΗΓΟΣ ΧΡΗΣΗΣ ΕΓΚΑΤΑΣΤΑΣΗ DIALUP NETWORKING ΣΕ MICROSOFT WINDOWS 2000 ΚΕΝΤΡΟ ΑΝΑΠΤΥΞΗΣ ΚΑΙ ΙΑΧΕΙΡΙΣΗΣ ΙΚΤΥΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ Ο ΗΓΟΣ ΧΡΗΣΗΣ ΕΓΚΑΤΑΣΤΑΣΗ DIALUP NETWORKING ΣΕ MICROSOFT WINDOWS 2000 Ο Ο ΗΓΟΣ ΧΡΗΣΗΣ ΗΜΙΟΥΡΓΗΘΗΚΕ ΑΠΟ ΤΟ ΚΕΝΤΡΟ ΑΝΑΠΤΥΞΗΣ ΚΑΙ ΙΑΧΕΙΡΙΣΗΣ ΙΚΤΥΩΝ

Διαβάστε περισσότερα

ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΟΜΕΑΣ ΔΙΚΤΥΩΝ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΟΜΕΑΣ ΔΙΚΤΥΩΝ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΟΜΕΑΣ ΔΙΚΤΥΩΝ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΟΔΗΓΟΣ ΣΥΝΔΕΣΗΣ ΣΤΗΝ ΥΠΗΡΕΣΙΑ ΑΣΥΡΜΑΤΗΣ ΠΡΟΣΒΑΣΗΣ ucywifi ΤΟΥ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΚΥΠΡΟΥ ΓΙΑ ΧΡΗΣΤΕΣ ΛΕΙΤΟΥΡΓΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Windows Vista

Διαβάστε περισσότερα

Οδηγίες Πρόσβασης στο EndNote Web. Πρόσβαση στο EndNote Web

Οδηγίες Πρόσβασης στο EndNote Web. Πρόσβαση στο EndNote Web Οδηγίες Πρόσβασης στο EndNote Web Το EndNote Web είναι εργαλείο διαχείρισης βιβλιογραφικών αναφορών, ενσωματωμένο στη βάση Web of Science. Απαιτείται εγγραφή και δημιουργία password (Sign in / Register)

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης πιστοποιητικών της Αρχής Πιστοποίησης του Χρηματιστηρίου Αθηνών

Οδηγίες εγκατάστασης πιστοποιητικών της Αρχής Πιστοποίησης του Χρηματιστηρίου Αθηνών Οδηγίες εγκατάστασης πιστοποιητικών της Αρχής Πιστοποίησης του Χρηματιστηρίου Αθηνών Έκδοση 1.0 Οδηγίες Ελέγχου Γνησιότητας Ψηφιακών Υπογραφών του Χρηματιστηρίου Αθηνών Σελίδα 1 από 11 1. Εισαγωγή Στο

Διαβάστε περισσότερα

1. Ανοίξτε το 3D Studio MAX ή επιλέξτε File Reset. ηµιουργήστε µια σφαίρα µε κέντρο την αρχή των αξόνων και ακτίνα 20 µονάδων και χρώµα πράσινο.

1. Ανοίξτε το 3D Studio MAX ή επιλέξτε File Reset. ηµιουργήστε µια σφαίρα µε κέντρο την αρχή των αξόνων και ακτίνα 20 µονάδων και χρώµα πράσινο. Άσκηση 5 Κλωνοποίηση Αντικειµένων Στόχος της άσκησης Σην παρούσα άσκηση θα δούµε πως µπορούµε να επιτύχουµε την κλωνοποίηση αντικειµένων στο 3D Studio Max, δηλαδή να δηµιουργήσουµε πανοµοιότυπα αντίγραφα

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Οικονομίας Διοίκησης και Πληροφορικής Τμήμα Πληροφορικής και Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Αρχές Τηλ/ων Συστημάτων Εργαστήριο 1 ο : Εισαγωγή στο Simulink-Σήματα ημιτόνου-awgn

Διαβάστε περισσότερα

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC 1 Απαραίτητα εξαρτήματα Για την πραγματοποίηση της άσκησης είναι απαραίτητα τα εξής

Διαβάστε περισσότερα

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Εισαγωγή στη VHDL Υλοποίηση στο Quartus ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκοντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών

Διαβάστε περισσότερα

Υπηρεσία διαμοιρασμού αρχείων

Υπηρεσία διαμοιρασμού αρχείων 7 Ιουνίου 2013 Υπηρεσία διαμοιρασμού αρχείων Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Ομάδα Τεχνικής Υποστήριξης v0.4 Πίνακας περιεχομένων Γενικά... 2 Διαθεσιμότητα... 2 Πρόσβαση... 2 Φυλλομετρητή ιστού...

Διαβάστε περισσότερα

How to register an account with the Hellenic Community of Sheffield.

How to register an account with the Hellenic Community of Sheffield. How to register an account with the Hellenic Community of Sheffield. (1) EN: Go to address GR: Πηγαίνετε στη διεύθυνση: http://www.helleniccommunityofsheffield.com (2) EN: At the bottom of the page, click

Διαβάστε περισσότερα

Εργαστήριο Μελέτης και Σχεδίασης με Χρήση Η/Υ Εγχειρίδιο για την χρήση του SIEMENS NX ΣΤΑΥΡΟΣ ΙΩΑΝΝΗΣ ΓΟΡΑΝΙΤΗΣ

Εργαστήριο Μελέτης και Σχεδίασης με Χρήση Η/Υ Εγχειρίδιο για την χρήση του SIEMENS NX ΣΤΑΥΡΟΣ ΙΩΑΝΝΗΣ ΓΟΡΑΝΙΤΗΣ Εργαστήριο Μελέτης και Σχεδίασης με Χρήση Η/Υ Εγχειρίδιο για την χρήση του SIEMENS NX 11.0 ΣΤΑΥΡΟΣ ΙΩΑΝΝΗΣ ΓΟΡΑΝΙΤΗΣ (sgor@hotmail.gr) ΧΑΝΙΑ 2017 4 ο ΜΕΡΟΣ ΟΔΗΓΙΕΣ ΓΙΑ TΗΝ ΔΗΜΙΟΥΡΓΙΑ ΤΩΝ DRAWINGS, EXPLODED

Διαβάστε περισσότερα

ΒΟΗΘΕΙΑ ΓΙΑ ΤΟ ΗΛΕΚΤΡΟΝΙΚΟ ΤΑΧΥΔΡΟΜΕΙΟ (E-MAIL)

ΒΟΗΘΕΙΑ ΓΙΑ ΤΟ ΗΛΕΚΤΡΟΝΙΚΟ ΤΑΧΥΔΡΟΜΕΙΟ (E-MAIL) Ορφανίδου 67 & Κονδυλάκη, Πατήσια Tηλ. Κέντρο: 210-22.23.799 Fax: 210-21.39.576 Ε-mail: iservices@omac.gr Web Site: http://www.omac.gr ΒΟΗΘΕΙΑ ΓΙΑ ΤΟ ΗΛΕΚΤΡΟΝΙΚΟ ΤΑΧΥΔΡΟΜΕΙΟ (E-MAIL) ΘΕΜΑ: ΡΥΘΜΙΣΕΙΣ ΝΕΟΥ

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

Εισαγωγή στη Χρήση της Εφαρµογής Compaq Visual Fortran & του Microsoft Developer Studio

Εισαγωγή στη Χρήση της Εφαρµογής Compaq Visual Fortran & του Microsoft Developer Studio Εισαγωγή στη Χρήση της Εφαρµογής Compaq Visual Fortran & του Microsoft Developer Studio Το κείµενο που ακολουθεί είναι ένας σύντοµος οδηγός στο περιβάλλον προγραµµατισµού της γλώσσας Fortran, για τις ανάγκες

Διαβάστε περισσότερα

Πώς να διαβάζετε το εγχειρίδιο: ηµιουργία Exploded Views

Πώς να διαβάζετε το εγχειρίδιο: ηµιουργία Exploded Views Πώς να διαβάζετε το εγχειρίδιο: ΚΕΦΑΛΑΙΑ όνοµα µενού (π.χ. ASSEMBLY). Έντονα Γράµµατα επιλογές από το µενού (π.χ. Create) ή επιλογή σε Dialog Box (π.χ. assembly.asm). [πληροφορίες] πληροφορίες ή τιµές

Διαβάστε περισσότερα

CYGWIN Οδηγίες Χρήσης (Συγγραφέας: Γιώργος ούκας)

CYGWIN Οδηγίες Χρήσης (Συγγραφέας: Γιώργος ούκας) Γενικά CYGWIN Οδηγίες Χρήσης (Συγγραφέας: Γιώργος ούκας) Το cygwin είναι ένα περιβάλλον προσοµοίωσης του UNIX/Linux στα Windows. Παρέχει στον χρήστη την δυνατότητα να δηµιουργεί προγράµµατα κάνοντας χρήση

Διαβάστε περισσότερα

ΑΝΑΠΑΡΑΓΩΓΗ ΜΑΘΗΣΙΑΚΩΝ ΑΝΤΙΚΕΙΜΕΝΩΝ ΣΤΟ ΦΩΤΟΔΕΝΤΡΟ ΜΑΘΗΣΙΑΚΑ ΑΝΤΙΚΕΙΜΕΝΑ. Οδηγίες για Java

ΑΝΑΠΑΡΑΓΩΓΗ ΜΑΘΗΣΙΑΚΩΝ ΑΝΤΙΚΕΙΜΕΝΩΝ ΣΤΟ ΦΩΤΟΔΕΝΤΡΟ ΜΑΘΗΣΙΑΚΑ ΑΝΤΙΚΕΙΜΕΝΑ. Οδηγίες για Java ΑΝΑΠΑΡΑΓΩΓΗ ΜΑΘΗΣΙΑΚΩΝ ΑΝΤΙΚΕΙΜΕΝΩΝ ΣΤΟ ΦΩΤΟΔΕΝΤΡΟ ΜΑΘΗΣΙΑΚΑ ΑΝΤΙΚΕΙΜΕΝΑ Οδηγίες για Java ΙΝΣΤΙΤΟΥΤΟ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΕΚΔΟΣΕΩΝ ΔΙΟΦΑΝΤΟΣ Φεβρουάριος 2018 Οι υπηρεσίες αναπτύχθηκαν από το ΙΤΥΕ

Διαβάστε περισσότερα

Ψηφιακή Επεξεργασία Σήματος

Ψηφιακή Επεξεργασία Σήματος ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ψηφιακή Επεξεργασία Σήματος Ενότητα Γ: Οδηγίες για την Ανάπτυξη και Εκτέλεση Προγραμμάτων στο Code Composer Studio v.4 Όνομα Καθηγητή:

Διαβάστε περισσότερα

A3.3 Γραφικά Περιβάλλοντα Επικοινωνίας και Διαχείριση Παραθύρων

A3.3 Γραφικά Περιβάλλοντα Επικοινωνίας και Διαχείριση Παραθύρων A3.3 Γραφικά Περιβάλλοντα Επικοινωνίας και Διαχείριση Παραθύρων Τι θα μάθουμε σήμερα: Να αναφέρουμε τα κύρια χαρακτηριστικά ενός Γραφικού Περιβάλλοντος Επικοινωνίας Να εξηγούμε τη χρήση των κουμπιών του

Διαβάστε περισσότερα