EJNIKO METSOBIO POLUTEQNEIO. tou

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "EJNIKO METSOBIO POLUTEQNEIO. tou"

Transcript

1 EJNIKO METSOBIO POLUTEQNEIO TΜΗΜΑ HΛΕΚΤΡΟΛΟΓΩΝ MΗΧΑΝΙΚΩΝ KΑΙ MΗΧΑΝΙΚΩΝ UΠΟΛΟΓΙΣΤΩΝ TOMEAS PΛΗΡΟΦΟΡΙΚΗΣ KΑΙ SΥΣΤΗΜΑΤΩΝ UΠΟΛΟΓΙΣΤΩΝ EΡΓΑΣΤΗΡΙΟ MΙΚΡΟΥΠΟΛΟΓΙΣΤΩΝ KΑΙ YΗΦΙΑΚΩΝ SΥΣΤΗΜΑΤΩΝ VLSI MΕΘΟΔΟΛΟΓΙΕΣ AΥΤΟΜΑΤΟΠΟΙΗΜΕΝΗΣ EΞΕΡΕΥΝΗΣΗΣ KΑΙ SΥΝΘΕΣΗΣ EΠΑΝΑΔΙΑΤΑΞΙΜΩΝ SΥΝΕΠΕΞΕΡΓΑΣΤΩΝ UΛΙΚΟΥ DΙΔΑΚΤΟΡΙΚΗ DΙΑΤΡΙΒΗ tou SΩΤΗΡΙΟΥ G. XΥΔΗ

2

3 Aj na, Ianouˆrioc 2011

4

5 EΘΝΙΚΟ MΕΤΣΟΒΙΟ PΟΛΥΤΕΧΝΕΙΟ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ TΟΜΕΑΣ PΛΗΡΟΦΟΡΙΚΗΣ KΑΙ SΥΣΤΗΜΑΤΩΝ UΠΟΛΟΓΙΣΤΩΝ EΡΓΑΣΤΗΡΙΟ MΙΚΡΟΥΠΟΛΟΓΙΣΤΩΝ KΑΙ YΗΦΙΑΚΩΝ SΥΣΤΗΜΑΤΩΝ VLSI MΕΘΟΔΟΛΟΓΙΕΣ AΥΤΟΜΑΤΟΠΟΙΗΜΕΝΗΣ EΞΕΡΕΥΝΗΣΗΣ KΑΙ SΥΝΘΕΣΗΣ EΠΑΝΑΔΙΑΤΑΞΙΜΩΝ SΥΝΕΠΕΞΕΡΓΑΣΤΩΝ UΛΙΚΟΥ DIDAKTORIKH DIATRIBH tou SΩΤΗΡΙΟΥ G. XΥΔΗ Συμβουλευτική Επιτροπή: ΚΙΑΜΑΛ ΠΕΚΜΕΣΤΖΗ ΠΑΝΑΓΙΩΤΗΣ ΤΣΑΝΑΚΑΣ ΝΕΚΤΑΡΙΟΣ ΚΟΖΥΡΗΣ Εγκρίθηκε από την επταμελή εξεταστική επιτροπή την 24η Ιανουαρίου K. PEKMESTZH- -KAJ. EMP-... -D. SOUNTRHS- -EP. KAJ. EMP-... -P. TSANAKAS- -KAJ. EMP-... -N. MHTROU- -KAJ. EMP-... -G. JEODWRIDHS- -EP. KAJ. PAN. PATRWN-... -N. KOZURHS- -AN. KAJ. EMP-... -G. OIKONOMAKOS- -LEKTWR EMP- Aj na, Ianouˆrioc 2011.

6 ... (SWTHRIOS G. XUDHS) Didˆktwr Hlektrolìgoc Mhqanikìc kai Mhqanikìc Upologist n E.M.P. c (Ιανουάριος 2011) Εθνικό Μετσόβιο Πολυτεχνείο. All rights reserved. Απαγορεύεται η αντιγραφή, αποθήκευση και διανομή της παρούσας εργασίας, εξ ολοκλήρου ή τμήματος αυτής, για εμπορικό σκοπό. Επιτρέπεται η ανατύπωση, α- ποθήκευση και διανομή για σκοπό μη κερδοσκοπικό, εκπαιδευτικής ή ερευνητικής φύσης, υπό την προϋπόθεση να αναφέρεται η πηγή προέλευσης και να διατηρείται το παρόν μήνυμα. Ερωτήματα που αφορούν τη χρήση της εργασίας για κερδοσκοπικό σκοπό πρέπει να απευθύνονται προς τον συγγραφέα. Οι απόψεις και τα συμπεράσματα που περιέχονται σε αυτό το έγγραφο εκφράζουν το συγγραφέα και δεν πρέπει να ερμηνευθεί ότι αντιπροσωπεύουν τις επίσημες θέσεις του Εθνικού Μετσόβιου Πολυτεχνείου.

7 Contents Ευχαριστίες 1 Abstract 3 Περίληψη Εισαγωγή Υπόβαθρο - Περιγραφή Χώρου Ερευνας Αυτοματοποιημένη Εξερεύνηση Σχεδιαστικών Χώρων Επαναδιατάξιμες Αρχιτεκτονικές Υλικού Σύνθεση Αρχιτεκτονικής Προσδιορισμός Ανοικτών Προβλημάτων Συστηματική Μεθοδολογία Εξερεύνησης για Εξειδικευμένους Διαχειριστές Δυναμικής Μνήμης Πολύ-Νηματικών Εφαρμογών Χώρος Αποφάσεων Δυναμικής Διαχείρισης Μνήμης Πολύ-Νηματικών Εφαρμογών Μεθοδολογία Εξερεύνησης Ενδεικτικά Αποτελέσματα Πειραματικής Αξιολόγησης Συνδυασμένη Αλγοριθμική και Αρχιτεκτονική Εξερεύνηση Για Αυτοματοποιημένη Σύνθεση Υλικού Μετακίνηση Προς Υψηλότερης Ποιότητας Pareto Σημεία CompInlLoop Χώρος Σχεδίασης CompInlLoop Μεθοδολογία Σχεδίασης Ενδεικτικά Αποτελέσματα Πειραματικής Αξιολόγησης Αρχιτεκτονική Σύνθεση Συνεπεξεργαστών Υλικού Αδρομερούς Επαναδιάταξης Με Εισαγωγή Ευελιξίας Επίπεδο Κυκλώματος: Τεχνική Εισαγωγή Ευελιξίας Επίπεδο Μικρο-Αρχιτεκτονικής Επίπεδο Αρχιτεκτονικής Σύνθεσης Ενδεικτικά Αποτελέσματα Πειραματικής Αξιολόγησης Αρχιτεκτονική Σύνθεση Ευέλικτων Συνεπεξεργαστών Υλικού Βάσει Αριθμητικά Βελτιστοποιημένης Αλυσίδωσης Λειτουργιών Προτεινόμενη Ευέλικτη Αρχιτεκτονική Μεθοδολογία Αρχιτεκτονικής Σύνθεσης Ενδεικτικά Αποτελέσματα Πειραματικής Αξιολόγησης Συμβολή Διδακτορικής Διατριβής Συμπεράσματα και Μελλοντικές Προεκτάσεις Συμπεράσματα Μελλοντικές Προεκτάσεις Introduction Embedded Systems Design Space Exploration i

8 1.3. Architectural Synthesis of Reconfigurable Datapaths Reconfigurable Architectures Architectural Synthesis Description of the Overall Design Flow Thesis Contributions Thesis Organization I. Multi-Level Design Space Exploration for System and Datapath Optimization A Systematic Exploration Methodology for Application-Specific Multi-Threaded Dynamic Memory Management Introduction Preliminary Discussion Heap-Based Dynamic Memory Management MTh-DMM Performance Metrics Related Work: Analysis of Heap Architectures Class A. Single Heap MTh-DMM Class B. Multiple Heap MTh-DMM Definition of MTh-DMM Design Space Inter-Heap Level Design Decisions Intra-Heap Level Design Decisions Decision Dependencies within the MTh-DMM Space Constrained-Orthogonal Exploration Methodology for Customized MTh-DMM Case Study: Evaluating Custom MTh-DMM for a Multi-Threaded Wireless Application Description of the Dynamic MTh-Application Evaluating the MTh-DMM Solution Quality Evaluating Design Time Reductions Conclusions Compiler in Loop Design Space Exploration During High Level Synthesis Introduction Related Work CompinLoop Motivational Observations: Identifying Unexplored Pareto Solutions Area Estimation of the Targeted Architectural Template Definition of the Design Space Compiler-Level DTs Architectural-Level DTs Decision Ordering Compiler-in-the-Loop Exploration Methodology Definition of Upper Bounding Conditions Gradient-Based Heuristic Pruning Experimental Results Experimental Setup Quality Improvements on Design Solutions Exploration Sensitivity Over Depth and a T Parameters Conclusions ii

9 II. Architectural Synthesis of Delay-Area Optimized Coarse-Grained Reconfigurable Coprocessors Architectural Synthesis for Coarse-Grained Reconfigurable Datapath With Bit-Level Inlined Flexibility Introduction Related Work The Flexibility Inlining Technique The Flexibility Inlining Technique Reconfigurable Architectural Template: Circuit Level Analysis Configurability of the Architecture Architectural Synthesis Framework Micro-architectural Abstractions of the Reconfigurable Architectural Template Qualitative Analysis: Proposed Flexible Datapath vs Row-Based Coarse- Grained Reconfigurable Architectures Synthesis Methodology Experimental Evaluation Experimental Results: Brief Overview Qualitative Comparisons Quantitative Comparisons With Reconfigurable And Dedicated Architectures Mapped Onto FPGA Devices Reconfigurability Based Quantitative Exploration of the Proposed Architectural Templates in the ASIC Design Space Evaluation Of Implementation Targets And Design Alternatives Quantitative Comparison of Flexible Computational Units in Stateof-The-Art Coarse-Grained Reconfigurable Architectures Performance Improvements Scalability Study of the Proposed Solution Conclusion Architectural Synthesis of Flexible Hardware Accelerators Exploiting Carry-Save Operation Templates Introduction Related Work Carry Save Optimization: Limitations and Motivational Observations Flexible Datapath Architecture Structure of Flexible Computational Unit The Recoding Unit Synthesis Methodology Experimental Results Conclusion Conclusions and Future Extensions Introduced Innovations Main Conclusions Future Extensions Publications Nomenclature 242 iii

10

11 List of Figures 0.1. Ανάγκη για εξειδίκευση Υλικού-Λογισμικού Αφηρημένο αρχιτεκτονικό πρότυπο Ε.Σ Παράδειγμα Pareto κυριαρχίας Τοποθέτηση Τεχνολογιών Υλοποίησης Συστημάτων Υλικού Χώρος αποφάσεων και οι αντίστοιχες αλληλεξαρτήσεις για δυναμική διαχείριση μνήμης πολυ-νηματικών εφαρμογών Συγκριτική μελέτη σχετικά με την επίδραση των αλληλεξαρτήσεων στο μέγεθος του χώρου λύσεων Προτεινόμενη μεθοδολογία και εργαλείο εξερεύνησης Δια-νηματικός χώρος λύσεων της δικτυακής εφαρμογής Συγκριτική μελέτη μεταξύ διαχειριστών δυναμικής μνήμης Στρατηγικές εξερεύνησης : (a) Compiler assisted [1], [2], [3], [4], [5]. (b) Compiler assisted with CLK selection [6], [7], [8]. (c) Compiler directed [9], [10], [11], [12], [13], [14]. (d) Προτεινόμενη Compiler-in-the-loop Συγκριτική αξιολόγηση και κενά βελτιστότητας μεταξύ διαφορετικών μεθοδολογιών εξερεύνησης CompInLoop Χώρος αποφάσεων και οι αντίστοιχες αλληλεξαρτήσεις Σημεία υπο-χώρων συναρτήσεων γειτονικών L Συγκριτική μελέτη Delay-Area Pareto σημείων που προκύπτουν από διαφορετικές στρατηγικές DSE a) Λύση 1: Κύκλωμα με 1 CS πολλαπλασιαστή τύπου-πίνακα και 1 CS αθροιστή 6 δεδομένων, b) Λύση 2: Συγχώνευση κυκλωμάτων χώρις Εισαγωγή Ευελιξίας, c) Λύση 3: Συγχώνευση κυκλωμάτων με Εισαγωγή Ευελιξίας Μετασχηματισμός Ομοιομοφίας Προτεινόμενη μικρο-αρχιτεκτονική οργάνωση Μεθοδολογία σύνθεσης αρχιτεκτονικής Βιβλιοθήκη Λειτουργιών FPS Μετρική AD: Προτεινόμενη αρχιτεκτονική vs CRISP [15], b) Προτεινόμενη αρχιτεκτονική vs FCC [16], c) Προτεινόμενη Αρχιτεκτονική vs NISC [17] Τοποθέτηση της προτεινόμενης λύσης σε σχέση με τις υπολοιπες τεχνικές CS βελτιστοποιήσεις [18], [19] Η προτεινόμενη ευέλικτη αρχιτεκτονική Η μονάδα FCU Η βιβλιοθήκη λειτουργιών της μονάδας FCU Προτεινόμενη ροή σχεδίασης Σύγκριση μετρικών σχεδίασης 1) Area-Delay b) Power-Delay Need for Customization Targeted System Architecture Pareto dominance Positioning of the available technologies The overall system design flow Research tree of the dissertation v

12 1.7. The conceptual framework for domain specific DSE Memory layout of process address space Heap organization schemes for MTh-DMM Complete MTh-DMM design space using decision trees Inter-dependencies among the DTs of MTh-DMM design space Scalability of pruned in comparison with an exhaustive inter-heap exploration under various #threads MTh-DMM exploration methodology and tool The multi-threaded application used to evaluate the multi-threaded allocators. The boxes represent the different threads/kernels that communicate through asynchronous FIFO queues Multi-threaded application characterization a) Network packet size distribution, b) Block sizes distribution Inter-heap solution space Shifting of Pareto inter-heap solutions Composition and comparative results for Kingsley-XP [20], Hoard [21] and various custom MTh-DM managers Design time reductions Exploration strategies during HLS: (a) Compiler assisted exploration [1], [2], [3], [4], [5]. (b) Compiler assisted with CLK selection exploration [6], [7], [8]. (c) Compiler directed exploration [9], [10], [11], [12], [13], [14]. (d) Proposed compiler-in-the-loop exploration Extend DSE s visibility through Compiler-in-the-Loop exploration Targeted architectural template Definition of the CompInLoop design space Proposed DT traversing order Algorithm of CompInLoop exploration framework Impact of a T parameter during gradient-based pruning heuristic Comparative Delay-Area Pareto curves delivered by differing DSE strategies Impact of the Depth k and a T parameters on the exploration approximation efficiency in respect to the GD metric Normalized percentage of the explored search space a) 4 4 Multiplier, b) 4 4 Chain-Adder, c) Straightforward Unified Architecture The Uniformity Transformation Several implementations of the UC a) Straightforward with no sharing, b) Straightforward with AC/SC sharing, c) Optimized at the RTL, d) Optimized with the proposed technique A detailed instance of the Reconfigurable Kernel Architecture Internal structure of pipeline stages 0-1. Stage 2 is identical to stage 1. Stage 3 is the typical CS array multiplier scheme Primitive components a) The gated-adder MC circuit, b) The reversing module, c) he synchronization circuit for the vertical multiplicand The format of the configuration word Micro-architectural view of the reconfigurable datapath vi

13 4.9. a) Internal structure of a FPS unit. IN0-IN5 forms the additive inputs. In case of multiplication operation: (i) the CS number [IN0, IN1] forms the multiplier. (ii) The MC[i] forms the multiplicand (templates T11, T12 in Fig. 4.9b). (iii) The i th column produces the i th partial product. b) Basic Template Library of a FPS unit Existing flexible computational cells: (a) Conventional coarse-grained reconfigurable cell (CGRC), (b) FCC reconfigurable cell [16] DFG mapping example. (a) Example Data-Flow Graph. (b) Post scheduling allocation table of CGRC-based solution. (c) Post scheduling allocation table of the proposed solution Synthesis flow Phase 1: Lowering and pre-processing optimization Pseudocode of multiplication pipeline depth reduction algorithm Synthesis flow Phase 2: Scheduling and binding Pseudocode of adjacency aware binding algorithm The tool-flow implementing the proposed synthesis methodology The Reconfigurable Kernel s Architecture a) Area-Configurability Diagram, b) Power-Configurability Diagram The RAU s a) Area-Configurability Diagram, b) The Power-Configurability Diagram Area-Time exploration diagram for the flexible computational units Post synthesis evaluation results. a) Norm. ADP: Proposed vs CRISP [15], b) Norm. ADP: Proposed vs FCC [16], c) Norm. ADP: Proposed vs NISC [17], d) Area distribution of the proposed datapaths, e) Storage requirements per flexible architecture, f) Area Utilization Ratio comparative results Scalability Analysis of the DSP kernels. Horizontal axis considers the number of either CRISP s or FPS s allocated resources. Vertical axis reports the execution latency for each allocation scenario Bit-width aware scalability. a) Delay of basic flexible computational components for various bit-widths. b) Area of basic flexible computational components for various bit-widths. c) Comparative latency results of different flexible architectures for various bit-widths implementing the FIR16 kernel. d) Comparative area results of different flexible architectures for various bitwidths implementing the FIR16 kernel a) A ripple-carry adder (carry propagate). b) A 3:2 CSA adder (4-bit). c) A 4:2 CSA adder (4-bit). d) A 8:2 compressor tree using 4:2 CSA units a) Exemplary DFG. b) CS optimization [18]. c) CS optimization based on multiplication distribution [19]. d) Positioning of the proposed approach in respect to CS optimizations in [18], [19] Abstract View of the Flexible Datapath The Flexible Computational Unit The FCU Template Library Gate-level implementation of CS-to-SD modules a) Non Most Significant Digit (Non-MSD). b) Significant Digit (Non-MSD) a) Gate-level implementation of Modified Booth Encoder. b) The CS-to-MB recoding module The proposed Synthesis Flow a) Psheudocode CS Aware DFG Reduction. b) Example of CS Reduction on a sample DFG Mapping onto FCU datapaths: An illustrative example Area-Time Explorative Diagram Designs Metrics a) Area-Delay b) Power-Delay vii

14 viii 6.1. Runtime Adaptive and Platform Customized Dynamic Memory Management Thermal Aware Compiler-in-Loop Exploration Mapping hardware coefficients on heterogeneous FPGA structures

15 List of Tables 0.1. UC Implementation Strategies Resource Characterization Based on TSMC 0.13 um Technology Library Kernel Characterization and Range of Exploration Parameters Comparison of Solution Coverage in respect to the Exact Pareto Curve Generational Distance Comparison in respect to the Exact Pareto Curve Comparison of the UC s Implementation Strategies Operation Level Reconfigurability of Each FPS In Fig Operation Mode of Line i {0, 1, 2, 3} of Pipelined Stage Qualitative Comparison Between Coarse-Grain Reconfigurable Cells Quantitative Comparison Between the Proposed Architecture, the Architecture in [22] and Non-Reconfigurable MACs Mapped Onto Virtex-II FPGA Quantitative Comparison Between Reconfigurable And Dedicated Multiplication Units Mapped Onto Virtex-II FPGA Evaluation Of The RAU Mapped Onto FPGA and ASIC Libraries Evaluation Of The Multiplexer-Based Interconnection Network Mapped Onto FPGA and ASIC Libraries Comparison Between Different Chain Addition Schemes For The Intra-Pipeline Stage Structure Comparative Latency Results Datapath Area Results: CRISP Slice [15] vs FCC-Based [16] vs NISC-Based [17] vs FPS-Based Delay-Area Estimation Model Based on FA modules for 16-bit Wordlength Recoding table of ẑ i according to t j+1 and û j digits. The values inside brackets refers to the case that ẑ i = ẑ ( L 2 ) Recoding table of q j according to ĥj+1 and n j digits Modified Booth Encoding table Latency, Area and Power Consumption Results: FCU vs FCC [16] Datapaths. 224 ix

16 x

17 EuqaristÐec Το κείμενο είναι σχεδόν έτοιμο... και η Ιθάκη φαίνεται να ξεπροβάλει στον ορίζοντα. Αντικρίζοντας για πρώτη φορά από τόσο κοντά τον προορισμό, η σκέψη μου κατακλύζεται από όλα εκείνα τα πρόσωπα που με συνόδευσαν σε αυτό το ταξίδι. Στο σημείο, λοιπόν, αυτό θα ήθελα να αφιερώσω λίγες γραμμές εκφράζοντας τις ευχαριστίες μου σε όλους αυτούς που συνέβαλαν, ο καθένας με το δικό του τρόπο, στην περιήγηση μου στα μονοπάτια της έρευνας. Ξεκινώντας, θα ήθελα να ευχαριστήσω τα μέλη της επιτροπής παρακολούθησης της διατριβής. Πρώτα, τον επιβλέποντα Καθηγητή μου κ. Κιαμάλ Πεκμεςτζή για την καθοδήγηση του και την εμπιστοσύνη του να με δεχθεί σαν συνεργάτη του. Πάνω από όλα όμως τον ευχαριστώ για την κριτική του στάση απέναντι στις ερευνητικές επιλογές μου και την συμπαράσταση του προς οποιαδήποτε ερευνητική κατεύθυνση ακολούθησα. Ευχαριστώ, επίσης, τον Καθηγητή κ. Παναγιώτη Τσανάκα και τον Αναπλ. Καθηγητή κ. Νεκτάριο Κοζύρη, οι οποίοι αποτέλεσαν για μένα ισχυρά πρότυπα επιστημονικής ακεραιότητας από τα προπτυχιακά μου ακόμα χρόνια. Θα ήθελα όμως να σταθώ και να ευχαριστήσω ιδιαίτερα ακόμα δύο ανθρώπους, η συμβολή των οποίων ήταν καθοριστική στη διαμόρφωση της πορείας μου ως διδακτορικός φοιτητής. Τον Επικ. Καθηγητή κ. Δημήτριο Σούντρη για την αμέριστη υποστήριξη του σε ερευνητικά και όχι μόνο θέματα, από την πρώτη στιγμή της γνωριμίας μας. Η έλευση του στο εργαστήριο με έφερε σε επαφή με νέες θεματικές περιοχές και τρόπους σκέψης που έπαιξαν σημαντικό ρόλο στο τελικό αποτέλεσμα της διατριβής. Επίσης, τον Λέκτορα κ. Γιώργιο Οικονομάκο που στάθηκε δίπλα μου στα αγωνιώδη πρώτα χρόνια του διδακτορικού. Ηταν αυτός που με παρότρυνε να μελετήσω την περιοχή των επαναδιατάξιμων συστημάτων σε μια περίοδο όπου η περιπλάνηση μέσα στον λαβύρινθο των ερευνητικών θεμάτων, φαινόταν αχανής. Στο σημείο αυτό, θα ήθελα να ευχαριστήσω τα υπόλοιπα μέλη της ομάδας του εργαστηρίου Μικρουπολογιστών και Ψηφιακών Συστημάτων. Τους Κωνσταντίνο Αναγνωστόπουλο, Δρ. Ισίδωρο Σιδέρη και Ζέφη Σκίνη που με καλοσώρισαν στο εργαστήριο. Τους μεταπτυχιακούς φοιτητές Δημήτριο Μπεκιάρη, Ηρακλή Αναγνωστόπουλο, Ιωάννη Κούτρα, Διονύση Διαμαντόπουλο, Χάρη Σιδηρόπουλο, Κωνσταντίνο Τσουμάνη, Ευστάθιο Σωτηρίου-Ξανθόπουλο, Νικόλαο Ζομπάκη και Μάρω Μπάκα και τους μεταδιδακτορικούς ερευνητές Δρ. Νικόλαο Αξελό, Δρ. Αντώνη Παπανικολάου, Δρ. Κωνσταντίνο Σιώζιο, Δρ. Αλέξανδρο Μπάρτζα για τις πολύωρες τεχνικές και φιλοσοφικές συζητήσεις και το υπέροχο κλίμα που έχουν δημιουργήσει. Θα ήθελα να αναφερθώ ειδικά στον Δρ. Αλέξανδρο Μπάρτζα για την άψογη συνεργασία και την υποστήριξη του σε πολλά επίπεδα. Εύχομαι σε όλους καλή συνέχεια. Μακάρι οι δρόμοι μας να σμίξουν ξανά στο μέλλον. Οι τελευταίες γραμμές γράφονται δύσκολα... Πώς μπορεί κάποιος να εκφράσει με λόγια αυτό για το οποίο οι λέξεις ευχαριστώ και ευγνωμοσύνη ακούγονται τόσο λίγες; Πώς μπορεί κάποιος να αναφερθεί σε εκείνους που θέτουν πρόθυμα τον εαυτό 1

18 τους στην εκπλήρωση των δικών σου προσωπικών ονείρων; Στις τελευταίες αυτές γραμμές θα ήθελα λοιπόν να εκφράσω την αγάπη μου στην οικογένεια μου: στη μητέρα μου Αγγελική, στον πατέρα μου Γιώργο, στην αδελφή μου Εφη, καθώς και στη σύντροφο μου Νάσια με την οποία βαδίζουμε στο ίδιο μονοπάτι. Σας ευχαριστώ για την ανεκτίμητη στήριξη που μου προσφέρατε, την υπομονή σας, μα πάνω από όλα την παρουσία σας κοντά μου όλα αυτά τα χρόνια. Σε εσάς θα ήθελα να αφιερώσω την παρούσα διατριβή. Σωτήριος Ξύδης, Ιανουάριος

19 Abstract Technological advances in micro-electronics, enabled the development of complex embedded computing devices, i.e. mobile phones, digital cameras, etc., which dominate the modern everyday life. Such type of systems usually execute a large but specific set of applications, which combine highly dynamic behavior together with high demands in computing power. The designers have to deal with the increased system complexity, in order to provide design solutions that satisfy a set of stringent functional and financial constraints. Today, it is broadly accepted that without the use of automated tools to optimize the hardware and software system s coefficients, designers are led to the adoption of sub-optimal design solutions. This thesis addresses the above problem by developing a set of methodologies for efficient design space exploration and architectural synthesis for digital signal processing coprocessors. Specifically, the proposed techniques target to (i) the development of customized software solutions for dynamic memory management of multi-threaded applications and (ii) the design of efficient customized and reconfigurable coprocessor architectures. Regarding to the automated design space exploration methodologies, we model and analyze the basic building blocks of multi-threaded dynamic memory management for multi-core platforms with shared memory. We propose new algorithms for exploring and traversing the defined parameter space based on constrained orthogonal design space partitioning, which enables multi-objective optimization and automated code generation of application specific dynamic memory management. In addition, we propose a new approach for defining an extended design space for hardware coprocessor synthesis, which takes into account the combined impact of behavioral-algorithmic and architectural level parameters. New exploration algorithms are developed to enable fast and efficient exploration that converges to more globally optimal design solutions. Regarding to the architectural synthesis of reconfigurable coprocessors, we introduce the Flexibility Inlining technique for designing coarse-grained reconfigurable architectures at the circuit level. The proposed technique exploits the mirror symmetry found in ASIC implementations of arithmetic circuits and through appropriate RTL transformations achieves the design of a new reconfigurable micro-architectural template that exploits in a combined manner the architectural optimizations of horizontal parallelism, vertical parallel and operation chaining. In addition, we present a second microarchitectural template that use advanced arithmetic optimization techniques for designing flexible coprocessor datapaths. Each of the aforementioned reconfigurable architectures is complemented with new high level synthesis algorithms in order to enable automated mapping of applications onto the introduced datapaths. We study the effectiveness of the proposed methodologies through multiple and extensive experimental evaluations of the proposed solutions in comparison with state-of-the-art design solutions. In any case, it seems that the adoption of the proposed methodologies leads to a significant shift of the design solutions towards more efficient implementations. 3

20

21 PerÐlhyh Οι τεχνολογικές εξελίξεις στον τομέα της μικρο-ηλεκτρονικής επιτρέπουν σήμερα την ανάπτυξη πολύπλοκών ενσωματωμένων υπολογιστικών συσκευών π.χ. κινητά τηλέφωνα, ψηφιακές κάμερες, που κυριαρχούν τη σύγχρονη καθημερινότητα. Οι σχεδιαστές καλούνται να αντιμετωπίσουν την αυξημένη πολυπλοκότητα, παρέχοντας σχεδιαστικές λύσεις που ικανοποιούν ένα αντικρουόμενο σύνολο αυστηρών λειτουργικών και οικονομικών περιορισμών. Σήμερα, είναι γενικά αποδεκτό ότι χωρίς τη χρήση αυτοματοποιημένων εργαλείων που βελτιστοποιούν αισθητά τις συνιστώσες υλικού και λογισμικού του συστήματος, οι σχεδιαστές οδηγούνται στην υιοθέτηση υπο-βέλτιστων σχεδιαστικών λύσεων. Η παρούσα διατριβή στοχεύει στην αντιμετώπιση του παραπάνω προβλήματος μέσω της ανάπτυξης ενός συνόλου μεθοδολογιών σχεδίασης που επιτρέπουν αποδοτική εξερεύνηση και σύνθεση αρχιτεκτονικών λύσεων υλικού και λογισμικού. Πιο συγκεκριμένα, οι προτεινόμενες μεθοδολογίες αφορούν (i) την ανάπτυξη εξειδικευμένων λύσεων λογισμικού για δυναμική διαχείριση μνήμης πολυ-νηματικών εφαρμογών και (ii) τη σχεδίαση αποδοτικών λύσεων υλικού τόσο για επαναδιατάξιμες όσο και εξειδικευμένες αρχιτεκτονικές συνεπεξεργαστή. Σε ότι αφορά στις μεθοδολογίες αυτοματοποιημένη εξερεύνησης, παρουσιάζεται η μοντελοποίηση και η ανάλυση σε βασικές συνιστώσες του χώρου σχεδίασης των δυναμικών διαχειριστών μνήμης για πολυ-νηματικές εφαρμογές. Προτείνονται νέοι αλγόριθμοι εξερεύνησης και διάσχισης του παραπάνω χώρου σχεδίασης βάσει μεθόδων ορθογώνιου διαχωρισμού των αποφάσεων, που επιτρέπουν την πολύ-κριτηριακή εξειδίκευση και την αυτοματοποιημένη παραγωγή λογισμικού των δυναμικών διαχειριστών μνήμης. Επιπλέον, προτείνεται μια νέα θεώρηση για την παραμετρική μοντελοποίηση του χώρου σχεδίασης εξειδικευμένων συνεπεξεργαστών υλικού, η οποία λαμβάνει υπόψη τις επιδράσεις των σχεδιαστικών αποφάσεων από τα επίπεδα αφαίρεσης συμπεριφοράς/αλγορίθμου και αρχιτεκτονικής, με στόχο τη συνδυασμένη βελτιστοποίηση της επίδοσης και της επιφάνειας υλικού. Νέοι αλγόριθμοι εξερεύνησης αναπτύχθηκαν, ώστε να επιτυγχάνεται γρήγορη αναζήτηση με αποδοτική σύγκλιση στις καθολικά βέλτιστες σχεδιαστικές λύσεις. Σε ότι αφορά στις μεθοδολογίες αρχιτεκτονικής σύνθεσης επαναδιατάξιμων συνεπεξεργαστών υλικού, παρουσιάζεται η τεχνική Εισαγωγή Ευελιξίας για κυκλωματική σχεδίαση επαναδιατάξιμων αρχιτεκτονικών αδρομερούς υφής. Η προτεινόμενη τεχνική εκμεταλλεύεται την κατοπτρική συμμετρία στις διασυνδέσεις εξειδικευμένων (μη-επαναδιατάξιμων) αριθμητικών κυκλωμάτων και μέσω κατάλληλων μετασχηματισμών, επιτυγχάνει τη σχεδίαση ενός νέου επαναδιατάξιμου μικρο-αρχιτεκτονικού πρότυπου, το οποίο συνδυάζει τον ο- ριζόντιο και κάθετο παραλληλισμό με δυνατότητες υπολογιστικής αλυσίδωσης λειτουργιών. Επιπροσθέτως, προτείνεται και μια δεύτερη επαναδιατάξιμη αρχιτεκτονική συνεπεξεργαστή, η οποία βασίζεται στη χρήση μεθόδων αριθμητικής βελτιστοποίησης για σχεδίαση ευέλικτων συνεπεξεργαστών με αλυσίδωση λειτουργιών. Για κάθε επαναδιατάξιμο συνεπεξεργαστή αναπτύχθηκαν νέοι αλγόριθμοι σχεδίασης για αυτοματοποιημένη απεικόνιση εφαρμογών στις προτεινόμενες αρχιτεκτονικές. 5

22 Η μελέτη της αποδοτικότητας των προτεινόμενων μεθοδολογιών πραγματοποιήθηκε μέσω εκτενών πειραματικών αξιολογήσεων και συγκρίσεων με τις αντίστοιχες σχεδιαστικές λύσεις αιχμής. Σε κάθε περίπτωση, διαπιστώνεται πως η υιοθέτηση των μεθοδολογιών που αναπτύχθηκαν στην παρούσα διατριβή, οδηγεί σε σημαντική μετατόπιση των σχεδιαστικών λύσεων προς πιο αποδοτικές υλοποιήσεις. 6

23 0.1. Eisagwg Ο χώρος του Αυτοματοποιημένου Ηλεκτρονικού Σχεδιασμού (Electronic Design Automation, EDA) αποτελεί ένα σημαντικό και συνεχώς αναπτυσσόμενο τομέα του ευρύτερου χώρου της σχεδίασης ολοκληρωμένων κυκλωμάτων (Integrated Circuits, IC). Το αυξημένο ενδιαφέρον για την ανεύρεση αποδοτικών EDA μεθοδολογιών οδηγείται σε μεγάλο βαθμό από τις εξελίξεις στις τεχνολογίες κατασκευής, οι οποίες ακολουθώντας πιστά το νόμο του Moore [23], επιτρέπουν σήμερα την ολοκλήρωση πολυ-πύρηνων επεξεργαστικών συστημάτων σε μια ψηφίδα (Multi-Processor Systemon-Chip, MPSoC). Τα ενσωματωμένα συστήματα (Ε.Σ.) αποτελούν υπολογιστικές συσκευές ειδικού σκοπού για συγκεκριμένο πεδίο εφαρμογής, π.χ. κινητά τηλέφωνα, ψηφιακές κάμερες. Σε αντιδιαστολή με τα υπολογιστικά συστήματα γενικού σκοπού, π.χ προσωπικοί υπολογιστές, φορητοί υπολογιστές, ένα ενσωματωμένο σύστημα καλείται να εκτελέσει συγκεκριμένες λειτουργίες υπό προκαθορισμένους περιορισμούς. Επιπλέον, τις περισσότερες φορές τα Ε.Σ. παρουσιάζουν μια εξαιρετικά δυναμική συμπεριφορά λόγω της συνεχούς αλληλεπίδρασης τους με το περιβάλλον λειτουργίας τους. Λαμβάνοντας υπόψη το εκάστοτε πεδίο εφαρμογής, οι σχεδιαστές Ε.Σ. αναζητούν αποδοτικές μεθοδολογίες σχεδίασης ικανές να παράγουν εξειδικευμένες λύσεις λογισμικού-υλικού που να ικανοποιούν τους σχεδιαστικούς περιορισμούς στο χαμηλότερο δυνατό κόστος, π.χ. επιφάνεια υλικού, κατανάλωση ισχύος. Ο σχεδιασμός εξειδικευμένων συστημάτων είναι μια απαίτηση που δημιουργείται από την αυξημένη πολυπλοκότητα των σύγχρονων ενσωματωμένων εφαρμογών. Οι συμβατικές σχεδιαστικές προσεγγίσεις, π.χ. εξειδικευμένο λογισμικό για μονο-πύρηνες επεξεργαστικές πλατφόρμες υλικού, δεν καταφέρνουν να ανταπεξέλθουν στις σύγχρονες απαιτήσεις των Ε.Σ. Το Σχ. 0.1 δείχνει ένα τέτοιο παράδειγμα σχετικά με τη σημαντικότητα και την αναγκαιότητα για εξειδικευμένες λύσεις λογισμικούυλικού. Η εφαρμογή αποκωδικοποίησης του MPEG-4 [24] απεικονίστηκε σε τέσσερις διαφορετικές αρχιτεκτονικές επεξεργαστή: (1) σε έναν επεξεργαστή ARM9 [25], (2) έναν ARM11 [25] (επεξεργαστής με πιο πλούσιο σύνολο εντολών για αποδοτική υποστήριξη λειτουργιών ψηφιακής επεξεργασίας σήματος (Digital Signal Processing, DSP), (3) έναν C6x VLIW από την Texas Instruments [26] (επεξεργαστής με υπερεξειδικευμένο σύνολο εντολών για λειτουργίες DSP ) και (4) έναν δι-πύρηνο επεξεργαστή Intel Core 2 Duo [27]. Οι ARM9, ARM11 και C6x VLIW αποτελούν επεξεργαστές που προορίζονται για ενσωματωμένα συστήματα, ενώ αντίθετα ο Intel Core 2 Duo είναι επεξεργαστής γενικού σκοπού. Ο σχεδιαστικός περιορισμός αφορά τη διασφάλιση του ελάχιστου επιτρεπτού ρυθμού αποκωδικοποίησης (24 πλαίσια εικόνας ανά δευτερόλεπτο), προκειμένου η ποιότητα της εικόνας να είναι ανεκτή στον τελικό χρήστη. Η αξιολόγηση πραγματοποιήθηκε για τις μετρικές επίδοσης που αφορούν στο ρυθμό αποκωδικοποίησης και στην κατανάλωση ισχύος. Από το Σχ. 0.1 διαπιστώνεται ότι οι λύσεις λογισμικού που απεικονίστηκαν στους επεξεργαστές για ενσωματωμένα συστήματα, απέτυχαν να ικανοποιήσουν το σχεδιαστικό περιορισμό του ελάχιστου ρυθμού αποκωδικοποίησης. Ο δι-πύρηνος επεξεργαστής γενικού σκοπού ικανοποιεί το σχεδιαστικό περιορισμό αλλά με υπερβολική κατανάλωση ισχύος, η οποία οδηγεί σε ελάχιστη ενεργειακή αυτονομία του συστήματος. Από το παραπάνω παράδειγμα, διαπιστώνουμε ότι προκειμένου να ικανοποιήσουμε αυστηρούς σχεδιαστικούς περιορισμούς επιδόσεων μέσα σε αποδεκτά ενεργειακά όρια, επιβάλλεται μια συντονισμένη εξειδίκευση τόσο σε επίπεδο λογισμικού (π.χ παράλληλες/πολυνη- 7

24 Figure 0.1.: Anˆgkh gia exeidðkeush UlikoÔ-LogismikoÔ. ματικές εφαρμογές) όσο και σε επίπεδο υλικού (π.χ. υποστήριξη συνεπεξεργαστών υλικού). Με γνώμονα τις παραπάνω κινητήριες παρατηρήσεις, η παρούσα διατριβή στοχεύει στην ανάπτυξη ενός συνόλου αποδοτικών μεθοδολογιών σχεδίασης για σύγχρονες αρχιτεκτονικές Ε.Σ. Χωρίς βλάβη της γενικότητας θεωρούμε ένα αφηρημένο αρχιτεκτονικό πρότυπο Ε.Σ. (Σχ. 0.2) το οποίο αποτελείται από (1) ένα σύνολο μικροεπεξεργαστών οι οποίοι παρέχουν στην πλατφόρμα δυνατότητες παραλληλίας σε επίπεδο διεργασίας ή νήματος, (2) ένα σύνολο από επαναδιατάξιμους ή μη συνεπεξεργαστές υλικού για επιτάχυνση των υπολογιστικά απαιτητικών διεργασιών, (3) μια πολυεπίπεδη ιεραρχία μνήμης για αποθήκευση των δεδομένων και (4) ένα δίκτυο διασύνδεσης για τη μεταφορά των δεδομένων μεταξύ των στοιχείων επεξεργασίας και αποθήκευσης. Οι προτεινόμενες μεθοδολογίες σχεδίασης περιλαμβάνουν τεχνικές εξειδίκευσης των συνιστωσών λογισμικού που αναλαμβάνουν τη διαχείριση της δυναμικής μνήμης του συστήματος καθώς και των συνιστωσών υλικού για την επιτάχυνση των υπολογιστικά κρίσιμων τμημάτων της εφαρμογής σε επαναδιατάξιμους ή μη συνεπεξεργαστές Upìbajro - Perigraf Q rou 'Ereunac Το πλαίσιο της παρούσας διδακτορικής διατριβής καθορίζεται από δυο κεντρικούς άξονες, που οριοθετούν και προσδιορίζουν το χώρο έρευνας. Πιο συγκεκριμένα, ο πρώτος άξονας αφορά στις μεθοδολογίες αυτοματοποιημένης εξερεύνησης σχεδιαστικών χώρων (Design Space Exploration, DSE), ώστε ο σχεδιαστής να οδηγείται σε βελτιστοποιημένες σχεδιαστικές λύσεις λαμβάνοντας υπόψη πολλαπλούς παράγοντες κόστους και περιορισμούς. Ο δεύτερος άξονας αφορά στις μεθοδολογίες σύνθεσης αρχιτεκτονικής από υψηλό επίπεδο (Architectural ή High Level Synthesis, HLS) επαναδιατάξιμων συνεπεξεργαστών υλικού αδρομερούς υφής (Coarse-Grained Reconfigurable Coprocessors, CGRCs). Οι HLS μεθοδολογίες επιτρέπουν την αυτοματοποιημένη 8

25 Figure 0.2.: Afhrhmèno arqitektonikì prìtupo E.S.. σύνθεση αρχιτεκτονικών υλικού απευθείας από την αλγοριθμική περιγραφή (π.χ. σε γλώσσα προγραμματισμού C) της επιθυμητής συμπεριφοράς επιτρέποντας την επιτάχυνση των υπολογιστικά απαιτητικών διεργασιών μέσω απεικόνισης τους σε υλικό, βελτιώνοντας παράλληλα την παραγωγικότητα του σχεδιαστή, καθώς δεν χρειάζεται να γνωρίζει χαμηλού επιπέδου σχεδιαστικές λεπτομέρειες. Επιπροσθέτως, οι αρχιτεκτονικές CGRC λειτουργούν ως ένα επιπλέον επίπεδο βελτιστοποίησης, επιτρέποντας επιτάχυνση των υπολογιστικά απαιτητικών διεργασιών με χαμηλότερο κατασκευαστικό κόστος, λόγω των αυξημένων δυνατοτήτων διαμοιρασμού υλικού. Οι δύο προαναφερόμενοι άξονες περιγράφονται συνοπτικά στις υπο-ενότητες που ακολουθούν Automatopoihmènh ExereÔnhsh Sqediastik n Q rwn Η εξερεύνηση ενός σχεδιαστικού χώρου είναι η διαδικασία διερεύνησης πολλαπλών σχεδιαστικών παραλλαγών, προκειμένου ο σχεδιαστής να οδηγηθεί σε μια βέλτιστη λύση (στην περίπτωση που υποθέτουμε μονο-κριτηριακή αντικειμενική συνάρτηση κόστους). Στην περίπτωση που το προς μελέτη σχεδιαστικό πρόβλημα απαιτεί τη βελτιστοποίηση μιας πολύ-κριτηριακής συνάρτησης κόστους, π.χ. μια συνάρτηση κόστους που περιλαμβάνει βελτιστοποίηση της επιφάνειας υλικού και της καθυστέρησης για σχεδιαστικά προβλήματα σύνθεσης συνεπεξεργαστών υλικού, ή μια συνάρτηση κόστους που περιλαμβάνει βελτιστοποίηση του αποτυπώματος μνήμης και του αριθμού των προσπελάσεων στη μνήμη για σχεδιαστικά προβλήματα που αφορούν τη δυναμική διαχείριση μνήμης κ.τ.λ., τότε, αντί για μία μοναδική βέλτιστη λύση αναζητείται ένα σύνολο από βέλτιστες λύσεις (Pareto-βέλτιστες [28]). Η έννοια της Pareto κυριαρχίας μιας λύσης Α σε σχέση με μια λύση Β, οι οποίες έχουν χαρακτηριστεί βάσει του συνόλου των κριτηρίων βελτιστοποίησης, ορίζεται αν η λύση Α είναι καλύτερη σε τουλάχιστον ένα κριτήριο βελτιστοποίησης χωρίς να είναι χειρότερη σε κανένα από τα υπόλοιπα κριτήρια. Οι Pareto-βέλτιστες λύσεις είναι ακριβώς οι λύσεις αυτές που παρουσιάζουν την παραπάνω ιδιότητα της Pareto κυριαρχίας σε σχέση με κάθε άλλη λύση από το σύνολο λύσεων. Προκειμένου να αξι- 9

26 Performance (#cycles) Pareto Solutions Non-Pareto solutions Area (um 2 ) Figure 0.3.: Parˆdeigma Pareto kuriarqðac. ολογηθεί αν μια λύση ανήκει στο σύνολο των Pareto-βέλτιστων λύσεων, η τιμή που λαμβάνει η πολύ-κριτηριακή συνάρτηση κόστους για τη συγκεκριμένη σχεδιαστική λύση θα πρέπει να συγκριθεί εξαντλητικά σε σχέση με τις αντίστοιχες τιμές που προκύπτουν από το σύνολο των υπόλοιπων προς εξέταση σχεδιαστικών λύσεων. Το Σχ. 0.3 απεικονίζει έναν σύνολο λύσεων χαρακτηρισμένων βάσει των κριτηρίων καθυστέρησης (άξονας Υ) και επιφάνειας υλικού (άξονας Χ). Ολα τα σημεία ανήκουν στο χώρο των εφικτών λύσεων, όμως τα τέσσερα συνδεδεμένα σημεία αποτελούν το σύνορο των Pareto-βέλτιστων λύσεων. Συνήθως, τα μεγέθη που αφορούν τα κριτήρια βελτιστοποίησης, π.χ. καθυστέρηση απόκρισης - επιφάνεια υλικού, σε μια πολύ-κριτηριακή συνάρτηση κόστους είναι στενά συνδεδεμένα μεταξύ τους και συνήθως αντικρουόμενα, με αποτέλεσμα η βελτιστοποίηση ενός μόνο κριτηρίου συχνά να επιφέρει σοβαρούς συμβιβασμούς στα υπόλοιπα κριτήρια. Στην πραγματικότητα, ένα σύστημα το οποίο έχει βελτιστοποιηθεί με μοναδικό κριτήριο την ελαχιστοποίηση της καθυστέρησης συνήθως αποτελεί μια από τις χειρότερες λύσεις σε ότι αφορά την επιφάνεια υλικού και αντιστρόφως. Τα προβλήματα εξερεύνησης σχεδιαστικών χώρων συνήθως μοντελοποιούνται μέσω τριών δομικών στοιχείων, (1) του χώρου παραμέτρων, (2) του χώρου λύσεων και (3) της συνάρτησης απεικόνισης. Ο χώρος παραμέτρων ορίζεται από τις αποφάσεις που πρέπει να ληφθούν για την επίλυση του προβλήματος σχεδιασμού. Οι αποφάσεις αυτές επηρεάζουν τις τιμές των κριτηρίων βελτιστοποίησης, χωρίς όμως να τα αναπαριστούν. Η λήψη διαφορετικών αποφάσεων οδηγεί σε ένα διακριτό σύνολο σχεδιαστικών λύσεων, η κάθε μια από τις οποίες χαρακτηρίζεται από συγκεκριμένες τιμές σε ότι αφορά τα κριτήρια βελτιστοποίησης. Για παράδειγμα, ένας διαχειριστής δυναμικής μνήμης μπορεί να περιγραφεί από ένα σύνολο μηχανισμών π.χ. μηχανισμοί αναζήτησης ελεύθερων μπλοκ μνήμης, μηχανισμοί τεμαχισμού μπλοκ μνήμης κ.τ.λ., οι οποίοι δεν δίνουν καμία πληροφορία για τις τιμές των κριτηρίων βελτιστοποίησης, όπως π.χ. ίχνος μνήμης, χρόνος εκτέλεσης κ.τ.λ.. Ο χώρος λύσεων ορίζεται από τις τιμές που λαμβάνουν τα κριτήρια βελτιστοποίησης, όπως το κόστος του συστήματος, 10

27 η ταχύτητα εκτέλεσης και η κατανάλωση ισχύος. Τέλος, η συνάρτηση απεικόνισης είναι η διαδικασία η οποία απεικονίζει τα διανύσματα του χώρου παραμέτρων στα αντίστοιχα στιγμιότυπα του χώρου λύσεων. Προγράμματα προσομοίωσης, αναλυτικά μοντέλα, αφαιρετικά μοντέλα, συνδυασμοί διαδικασιών όπως η μεταγλώττιση-εκτέλεση για τις συνιστώσες λογισμικού ή αρχιτεκτονική σύνθεση και σύνθεση πυλών για τις συνιστώσες υλικού κ.τ.λ., μπορούν να χρησιμοποιηθούν ως έγκυρες συναρτήσεις απεικόνισης για την εξερεύνηση ενός σχεδιαστικού χώρου [29]. Οι αλγόριθμοι εξερεύνησης μπορούν να εφαρμοστούν είτε στο χώρο παραμέτρων είτε στο χώρο λύσεων. Ενα αφαιρετικό λογικό διάγραμμα ροής για τους αλγορίθμους εξερεύνησης στο χώρο παραμέτρων αποτελείται συνήθως από τρία βήματα: (1) συστηματική επιλογή ενός συνόλου από διανύσματα παραμέτρων, (2) παραγωγή του αντίστοιχου χώρου λύσεων και (3) αξιολόγηση του χώρου λύσεων και εξαγωγή του Pareto συνόρου. Αντίθετα, η τυπική προσέγγιση που ακολουθείται από τους αλγορίθμους εξερεύνησης στο χώρο λύσεων επικεντρώνεται στο συστηματικό περιορισμό του χώρου λύσεων (άρα και των εξεταζόμενων διανυσμάτων του χώρου παραμέτρων) κατά τη διάρκεια της διαδικασίας διερεύνησης π.χ. ο αλγόριθμος καθορίζει κατά τη διάρκεια εκτέλεσης του για το αν μπορεί να βρεθεί μια σχεδιαστική λύση η οποία θα ικανοποιεί τους περιορισμούς της σχεδίασης ενώ παράλληλα βελτιστοποιεί τα κριτήρια της αντικειμενικής συνάρτησης κόστους. Η πολύ-κριτηριακή εξερεύνηση αποτελεί το πιο ρεαλιστικό σενάριο στη σχεδίαση ενσωματωμένων συστημάτων, δεδομένου ότι ο σχεδιαστής καλείται να επιλέξει μια βελτιστοποιημένη λύση η οποία ικανοποιεί αυστηρούς περιορισμούς. Στην πραγματικότητα, κάθε σχεδιαστικό πρόβλημα που συναντάται σε μια ροή σχεδίασης μπορεί να θεωρηθεί ως πρόβλημα πολύ-κριτηριακής εξερεύνησης. Σε τέτοιου είδους προβλήματα, η πολυπλοκότητα της διαδικασίας εξερεύνησης εξαρτάται από το μέγεθος του εξεταζόμενου χώρου λύσεων. Στην τυπική περίπτωση, οι παραγόμενοι χώροι λύσεων είναι υπερβολικά μεγάλοι με αποτέλεσμα μια προσέγγιση βασιζόμενη στην εξαντλητική εξερεύνηση να είναι πρακτικά αδύνατη λόγω του υπολογιστικού φόρτου. Επιπλέον, μεθοδολογίες εξερεύνησης βάσει τυχαίας δειγματοληψίας του χώρου λύσεων ή παραμέτρων δεν παρέχουν καμία εγγύηση σύγκλισης προς τις πραγματικά βέλτιστες λύσεις, αυξάνοντας το κίνδυνο για υιοθέτηση υπο-βέλτιστων σχεδιάσεων. Κατά συνέπεια, υπάρχει ανάγκη για αυτοματοποιημένες αλλά ταυτόχρονα στοχευμένες μεθοδολογίες εξερεύνησης, υπό την έννοια ότι οι αλγόριθμοι εξερεύνησης θα πρέπει να αναπτύσσονται με γνώμονα όχι μόνο την αυτοματοποίηση αλλά και την ενσωμάτωση γνώσης σχετικά με τη δομή του χώρου σχεδίασης ώστε να επιτυγχάνεται η σύγκλιση προς τα πραγματικά Pareto σύνορα, χωρίς να απαιτείται μια εξαντλητική προσέγγιση διερεύνησης. Η παρούσα διατριβή επικεντρώνεται σε δύο προβλήματα εξερεύνησης που παρουσιάζονται κατά τη διάρκεια σχεδιασμού ενός ενσωματωμένου υπολογιστικού συστήματος. Το πρώτο πρόβλημα εξερεύνησης αφορά στη σχεδίαση εξειδικευμένων διαχειριστών λογισμικού δυναμικής μνήμης σε πολύ-νηματικές εφαρμογές. Πιο συγκεκριμένα, προτείνεται μια συστηματική μεθοδολογία εξερεύνησης η οποία εφαρμόζεται στο χώρο παραμέτρων του προβλήματος, αξιοποιώντας τις μεταξύ τους εξαρτήσεις για μείωση του χώρου αναζήτησης μέσω πρόωρης αναγνώρισης και εξάλειψης μη-pareto -βέλτιστων λύσεων. Οι προτεινόμενες μεθοδολογίες παρέχουν εξειδικευμένη διαχείριση της δυναμικής μνήμης σε πολύ-νηματικές εφαρμογές προεκτείνοντας προηγούμενες ερευνητικές προσπάθειες οι οποίες λάμβαναν υπόψη μονο-νηματικές εφαρμογές [30]. Το δεύτερο πρόβλημα εξερεύνησης αφορά στη σύνθεση εξειδικευμένων 11

28 αρχιτεκτονικών συνεπεξεργαστών υλικού με σκοπό τη βελτιστοποίηση των κριτηρίων καθυστέρησης και επιφάνειας υλικού. Οι υπάρχουσες προσεγγίσεις δεν εξετάζουν τη συνδυασμένη επίδραση των αλγοριθμικών και των αρχιτεκτονικών παραμέτρων του συγκεκριμένου προβλήματος, με αποτελέσματα να αποκλείουν από το χώρο αναζήτησης ένα σημαντικό αριθμό λύσεων, οι οποίες συνήθως ανήκουν στο Pareto σύνορο. Προκειμένου να ξεπεραστεί ο παραπάνω περιορισμός, προτείνεται μια συστηματική μεθοδολογία εξερεύνησης στο χώρο λύσεων του προβλήματος η οποία λαμβάνει υπόψη ένα επαυξημένο χώρο παραμέτρων και ενσωματώνει γνώση της δομής του χώρου αυτού, ώστε να αποκλείει δυναμικά κατά τη διάρκεια εξερεύνησης σχεδιαστικές λύσεις που δεν συγκλίνουν σε Pareto αρχιτεκτονικές Epanadiatˆximec Arqitektonikèc UlikoÔ Οι επαναδιατάξιμες αρχιτεκτονικές υλικού [31] αποτελούν ένα καινούργιο και ταχέως αναπτυσσόμενο πεδίο έρευνας στο χώρο της ψηφιακής σχεδίασης. Εισάγουν ένα νέο υπολογιστικό μοντέλο το οποίο αυξάνει τη διαθέσιμη λογική πυκνότητα των κυκλωμάτων, επιτρέποντας τη σχεδίαση αρχιτεκτονικών που παρουσιάζουν τις υψηλές επιδόσεις των εξειδικευμένων κυκλωμάτων υλικού με τις δυνατότητες ευελιξίας/προγραμματισμού που προσφέρονται από αρχιτεκτονικές μικρο-επεξεργαστών. Η ευελιξία αυτή αυξάνει τις δυνατότητες για επαναχρησιμοποίηση του υλικού, καθώς ο ίδιος επαναδιατάξιμος συνεπεξεργαστής δύναται να μεταβάλλει τη λειτουργία του ανά διάφορα αμοιβαίως αποκλειόμενα χρονικά παράθυρα, ανάλογα με την εκάστοτε εφαρμογή που του ανατίθεται. Επομένως, στο ίδιο υλικό αντιστοιχίζονται περισσότεροι του ενός πυρήνες της εφαρμογής, σε αντίθεση με τους τυπικούς συνεπεξεργαστές υλικού ειδικού σκοπού, κάθε ένας από τους οποίους προϋποθέτει εκχώρηση υλικού. Το Σχ. 0.4 συγκρίνει τις διάφορες τεχνολογίες υλοποίησης σε ότι αφορά την επίδοση (κατανάλωση) έναντι της υποστηριζόμενης ευελιξίας. Με τον όρο επίδοση, εννοούμε την καθυστέρηση εκτέλεσης μιας εφαρμογής, ενώ με τον όρο ευελιξία αναφερόμαστε στη δυνατότητα προγραμματισμού του συστήματος. Η απεικονιζόμενη τάση δείχνει πως η αύξηση της ευελιξίας του υλικού έχει αρνητική επίπτωση στην επίδοση, ή από μια διαφορετική σκοπιά η εξειδίκευση του υλικού ανάλογα με την εφαρμογή οδηγεί σε κέρδη επίδοσης. Οι προγραμματιζόμενοι επεξεργαστές εμφανίζουν τη μεγαλύτερη ευελιξία εις βάρος των σχετικά χαμηλών επιδόσεων, ενώ αντίστροφα τα κυκλώματα ειδικού σκοπού (τα Application Specific ICs (ASICs) και τα βελτιστοποιημένα σε επίπεδο τρανζίστορ ICs) παρουσιάζουν τις καλύτερες επιδόσεις αλλά με μηδενική ευελιξία. Οι επαναδιατάξιμες αρχιτεκτονικές τοποθετούνται στο ενδιάμεσο μεταξύ των δύο προηγούμενων ακραίων περιπτώσεων, περιορίζοντας έτσι το βαθμό ευελιξίας τους σε συγκεκριμένους τομείς εφαρμογών. Ενας σημαντικός αριθμός επαναδιατάξιμων αρχιτεκτονικών έχει ήδη προταθεί στη βιβλιογραφία [32]. Οι επαναδιατάξιμες αρχιτεκτονικές διακρίνονται σε αρχιτεκτονικές με δυνατότητες λεπτομερούς και αδρομερούς επαναδιάταξης. Οι αρχιτεκτονικές λεπτομερούς επαναδιάταξης όπως τα FPGAs, υποστηρίζουν επαναδιάταξη στο επίπεδο του bit, (επιτρέποντας την απεικόνιση μεγάλου εύρους εφαρμογών) χαρακτηρίζονται όμως από υψηλή καθυστέρηση επαναδιάταξης και κατανάλωση ισχύος. Επιπλέον, αυτού του βαθμού η παρεχόμενη ευελιξία αφορά σε περιπτώσεις σχεδίασης που οι υπολογιστικές απαιτήσεις, είτε δεν είναι γνωστές εκ των προτέρων είτε διαφέρουν σημαντικά μεταξύ των εφαρμογών. Σε πολλές περιπτώσεις, αυτός ο ακραίος βαθμός 12

29 General Purpose Processors Digital Signal Processors Fine Grained Reconfigurable Flexibility Coarse Grained Reconfigurable ASICs Physically Optimized ICs Power Performance Figure 0.4.: Topojèthsh Teqnologi n UlopoÐhshc Susthmˆtwn UlikoÔ. ευελιξίας είναι περιττός και έχει ως αποτέλεσμα αυξημένο κόστος υλοποίησης, λόγω επιφάνειας υλικού και της κατανάλωσης ισχύος. Αντίθετα, στις αρχιτεκτονικές αδρομερούς επαναδιάταξης, η επαναδιάταξη επιτελείται στο επίπεδο των λειτουργικών μονάδων παρά στο επίπεδο του bit. Με τον τρόπο αυτό, η διαδικασία επαναδιάταξης επιτελείται ταχύτερα από εκείνη στα FPGAs. Επιπλέον, δεδομένου του εκάστοτε πεδίου εφαρμογής, εξειδικευμένες επαναδιατάξιμες αρχιτεκτονικές αδρομερούς υφής, προσαρμοσμένες στις ειδικές ανάγκες του συνόλου εφαρμογών, μπορούν να σχεδιαστούν ώστε να οδηγήσουν σε συστήματα με δραστικώς καλύτερα χαρακτηριστικά επιφάνειας υλικού, επίδοσης και κατανάλωσης ισχύος σε σχέση με τις αρχιτεκτονικές λεπτομερούς επαναδιάταξης. Η παρούσα διατριβή επικεντρώνεται στη σχεδίαση επαναδιατάξιμων αρχιτεκτονικών επεξεργαστή αδρομερούς υφής για εφαρμογές που προέρχονται από το πεδίο DSP. Τυπικά, οι DSP εφαρμογές δομούνται από πολλούς υπολογιστικά απαιτητικούς πυρήνες που εκτελούν ένα μεγάλο αριθμό αριθμητικών πράξεων. Τέτοιου είδους εφαρμογές προσφέρουν πρόσφορο έδαφος για την ανάπτυξη μεθοδολογιών σχεδίασης με στόχο τη βελτιστοποίηση των κριτηρίων καθυστέρησης λειτουργίας και καταλαμβανόμενης επιφάνειας υλικού. Στους DSP συνεπεξεργαστές, οι μονάδες πολλαπλασιασμού αποτελούν κρίσιμα δομικά στοιχεία λόγω της υψηλής πολυπλοκότητας (κυκλωματικής) και καθυστέρησης (μεγάλα κρίσιμα μονοπάτια) που παρουσιάζουν. Βάσει της παραπάνω ανάλυσης, εστιάζουμε στο σχεδιασμό επαναδιατάξιμων αρχιτεκτονικών οι οποίες θα αξιοποιούν με αποδοτικό τρόπο την επιφάνεια υλικού που έχει εκχωρηθεί στα κυκλώματα πολλαπλασιασμού. Τυπικά, οι αρχιτεκτονικές αδρομερούς επαναδιάταξης σχεδιάζονται και προδιαγράφονται στο επίπεδο της μικρο-αρχιτεκτονικής, όπου καθορίζονται οι δομικές μονάδες υλικού π.χ. αριθμητικοί τελεστές, οι οποίες είναι κοινές και διαμοιραζόμενες μεταξύ των αμοιβαίως αποκλειόμενων πυρήνων που απεικονίζονται στον επαναδιατάξιμο συνεπεξεργαστή. Ωστόσο, στην παρούσα διατριβή ακολουθούμε μια μάλλον διαφορετική προσέγγιση του σχεδιασμού από εκείνο χρησιμοποιείται 13

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

Συστήματα VLSI. Εισαγωγή. Γιώργος Δημητρακόπουλος. Δημοκρίτειο Πανεπιστήμιο Θράκης. Άνοιξη 2014

Συστήματα VLSI. Εισαγωγή. Γιώργος Δημητρακόπουλος. Δημοκρίτειο Πανεπιστήμιο Θράκης. Άνοιξη 2014 Συστήματα VLSI Εισαγωγή Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Άνοιξη 2014 Συστήματα VLSI 1 Τα ολοκληρωμένα κυκλώματα από «μέσα» Συστήματα VLSI 2 Τα εργαλεία της σχεδίασης Algorithms-Applications

Διαβάστε περισσότερα

Διαφορές single-processor αρχιτεκτονικών και SoCs

Διαφορές single-processor αρχιτεκτονικών και SoCs 13.1 Τα συστήματα και η επικοινωνία μεταξύ τους γίνονται όλο και περισσότερο πολύπλοκα. Δεν μπορούν να περιγραφούνε επαρκώς στο επίπεδο RTL καθώς αυτή η διαδικασία γίνεται πλέον αρκετά χρονοβόρα. Για αυτό

Διαβάστε περισσότερα

ΟΙΚΟΝΟΜΟΤΕΧΝΙΚΗ ΑΝΑΛΥΣΗ ΕΝΟΣ ΕΝΕΡΓΕΙΑΚΑ ΑΥΤΟΝΟΜΟΥ ΝΗΣΙΟΥ ΜΕ Α.Π.Ε

ΟΙΚΟΝΟΜΟΤΕΧΝΙΚΗ ΑΝΑΛΥΣΗ ΕΝΟΣ ΕΝΕΡΓΕΙΑΚΑ ΑΥΤΟΝΟΜΟΥ ΝΗΣΙΟΥ ΜΕ Α.Π.Ε Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. ΟΙΚΟΝΟΜΟΤΕΧΝΙΚΗ ΑΝΑΛΥΣΗ ΕΝΟΣ ΕΝΕΡΓΕΙΑΚΑ ΑΥΤΟΝΟΜΟΥ ΝΗΣΙΟΥ ΜΕ Α.Π.Ε Πτυχιακή Εργασία Φοιτητής: Γεμενής Κωνσταντίνος ΑΜ: 30931 Επιβλέπων Καθηγητής Κοκκόσης Απόστολος Λέκτορας

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Εισαγωγή στα Συστήματα Ολοκληρωμένων Κυκλωμάτων Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής http://diceslab.cied.teiwest.gr E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ. Πτυχιακή εργασία

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ. Πτυχιακή εργασία ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ Πτυχιακή εργασία ΕΠΙΛΥΣΗ ΤΟΥ ΠΡΟΒΛΗΜΑΤΟΣ ΧΡΟΝΟΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΜΕΤΑΔΟΣΗΣ ΣΕ ΑΣΥΡΜΑΤΑ ΔΙΚΤΥΑ ΜΕ ΣΥΣΚΕΥΕΣ ΔΙΑΚΡΙΤΩΝ ΤΙΜΩΝ ΙΣΧΥΟΣ ΜΕ ΤΗ ΧΡΗΣΗ

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακών Κυκλωμάτων

Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD Χειμερινό Εξάμηνο 2009 2010 Design flow? ΗΥ220 University of Crete 2 Ροή Σχεδίασης (Design Flow) Requirements Verilog, VHDL

Διαβάστε περισσότερα

Ηλεκτρονικός οδηγός για τους φοιτητές ενός Α.Ε.Ι.

Ηλεκτρονικός οδηγός για τους φοιτητές ενός Α.Ε.Ι. Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Ηλεκτρονικός οδηγός για τους φοιτητές ενός Α.Ε.Ι. Πτυχιιακή Εργασίία Φοιτητής: Δημήτριος Παπαοικονόμου ΑΜ: 36712

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua.gr Διπλωματικές

Διαβάστε περισσότερα

Θέματα Διπλωματικών Εργασιών

Θέματα Διπλωματικών Εργασιών Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχ. & Μηχ. Υπολογιστών Τομέας Τεχνολογίας Πληροφορικής & Υπολογιστών Εργαστήριο Μικροϋπολογιστών & Ψηφιακών Συστημάτων Τηλ.: 210 772-2500, Γραμμ.: 210 772-3548,

Διαβάστε περισσότερα

Θέματα Διπλωματικών Εργασιών

Θέματα Διπλωματικών Εργασιών Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχ. & Μηχ. Υπολογιστών Τομέας Τεχνολογίας Πληροφορικής & Υπολογιστών Εργαστήριο Μικροϋπολογιστών & Ψηφιακών Συστημάτων Τηλ.: 210 772-2500, Γραμμ.: 210 772-3548,

Διαβάστε περισσότερα

Προγραµµατισµός Συστηµάτων Πραγµατικού Χρόνου

Προγραµµατισµός Συστηµάτων Πραγµατικού Χρόνου Προγραµµατισµός Συστηµάτων Πραγµατικού Χρόνου Εφαρµογές σε µικρά ενσωµατωµένα συστήµατα Ιωάννης Καλόµοιρος Αναπληρωτής Καθηγητής Τµήµα Μηχανικών Πληροφορικής Μάθηµα 2ο Περίληψη και σηµαντικά σηµεία Τα

Διαβάστε περισσότερα

Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC

Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC Πλεονεκτήματα MPSoC Είναι ευκολότερο να σχεδιαστούν πολλαπλοί πυρήνες επεξεργαστών από τον σχεδιασμό ενός ισχυρότερου και πολύ πιο σύνθετου μονού επεξεργαστή.

Διαβάστε περισσότερα

METROPOLIS. Ένα περιβάλλον σχεδιασμού για ετερογενή συστήματα

METROPOLIS. Ένα περιβάλλον σχεδιασμού για ετερογενή συστήματα METROPOLIS Ένα περιβάλλον σχεδιασμού για ετερογενή συστήματα Ενσωματωμένα συστήματα Ορίζονται ως ηλεκτρονικά συστήματα τα οποία χρησιμοποιούν υπολογιστές και ηλεκτρονικά υποσυστήματα για να εκτελέσουν

Διαβάστε περισσότερα

ΒΕΛΤΙΣΤΟΠΟΙΗΣΗ ΧΡΟΝΟΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΕΡΓΑΣΙΩΝ & ΑΝΑΘΕΣΗΣ ΑΝΘΡΩΠΙΝΩΝ ΠΟΡΩΝ ΣΕ ΠΟΛΛΑΠΛΑ ΕΡΓΑ ΠΑΡΑΓΩΓΗΣ ΛΟΓΙΣΜΙΚΟΥ ΜΕ ΠΟΛΛΑΠΛΕΣ ΟΜΑΔΕΣ

ΒΕΛΤΙΣΤΟΠΟΙΗΣΗ ΧΡΟΝΟΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΕΡΓΑΣΙΩΝ & ΑΝΑΘΕΣΗΣ ΑΝΘΡΩΠΙΝΩΝ ΠΟΡΩΝ ΣΕ ΠΟΛΛΑΠΛΑ ΕΡΓΑ ΠΑΡΑΓΩΓΗΣ ΛΟΓΙΣΜΙΚΟΥ ΜΕ ΠΟΛΛΑΠΛΕΣ ΟΜΑΔΕΣ Σχολή Μηχανικής και Τεχνολογίας Πτυχιακή εργασία ΒΕΛΤΙΣΤΟΠΟΙΗΣΗ ΧΡΟΝΟΠΡΟΓΡΑΜΜΑΤΙΣΜΟΥ ΕΡΓΑΣΙΩΝ & ΑΝΑΘΕΣΗΣ ΑΝΘΡΩΠΙΝΩΝ ΠΟΡΩΝ ΣΕ ΠΟΛΛΑΠΛΑ ΕΡΓΑ ΠΑΡΑΓΩΓΗΣ ΛΟΓΙΣΜΙΚΟΥ ΜΕ ΠΟΛΛΑΠΛΕΣ ΟΜΑΔΕΣ Ηλίας Κωνσταντίνου Λεμεσός,

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 1 η :

Διαβάστε περισσότερα

ΑΝΑΠΤΥΞΗ ΛΟΓΙΣΜΙΚΟΥ ΓΙΑ ΤΗ ΔΙΕΝΕΡΓΕΙΑ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΜΕΛΕΤΩΝ

ΑΝΑΠΤΥΞΗ ΛΟΓΙΣΜΙΚΟΥ ΓΙΑ ΤΗ ΔΙΕΝΕΡΓΕΙΑ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΜΕΛΕΤΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΣΜΕΝΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΑΝΑΠΤΥΞΗ ΛΟΓΙΣΜΙΚΟΥ ΓΙΑ ΤΗ ΔΙΕΝΕΡΓΕΙΑ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΜΕΛΕΤΩΝ ΠΛΟΣΚΑΣ ΝΙΚΟΛΑΟΣ Α.Μ. 123/04 ΕΠΙΒΛΕΠΩΝ: ΣΑΜΑΡΑΣ ΝΙΚΟΛΑΟΣ ΘΕΣΣΑΛΟΝΙΚΗ, ΙΟΥΝΙΟΣ 2007 Περιεχόμενα

Διαβάστε περισσότερα

215 Μηχανικών Η/Υ και Πληροφορικής Πάτρας

215 Μηχανικών Η/Υ και Πληροφορικής Πάτρας 215 Μηχανικών Η/Υ και Πληροφορικής Πάτρας Το Τμήμα ασχολείται με τη διδασκαλία και την έρευνα στην επιστήμη και τεχνολογία των υπολογιστών και τη μελέτη των εφαρμογών τους. Το Τμήμα ιδρύθηκε το 1980 (ως

Διαβάστε περισσότερα

Σύστημα ψηφιακής επεξεργασίας ακουστικών σημάτων με χρήση προγραμματιζόμενων διατάξεων πυλών. Πτυχιακή Εργασία. Φοιτητής: ΤΣΟΥΛΑΣ ΧΡΗΣΤΟΣ

Σύστημα ψηφιακής επεξεργασίας ακουστικών σημάτων με χρήση προγραμματιζόμενων διατάξεων πυλών. Πτυχιακή Εργασία. Φοιτητής: ΤΣΟΥΛΑΣ ΧΡΗΣΤΟΣ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Σύστημα ψηφιακής επεξεργασίας ακουστικών σημάτων με χρήση προγραμματιζόμενων διατάξεων πυλών. Πτυχιακή Εργασία Φοιτητής:

Διαβάστε περισσότερα

Ανάπτυξη του Τεχνικού Κειμένου Η Αρχική Σύνταξη

Ανάπτυξη του Τεχνικού Κειμένου Η Αρχική Σύνταξη Ανάπτυξη του Τεχνικού Κειμένου Η Αρχική Σύνταξη Ενότητες και υποενότητες Εισαγωγή - Δομικές μηχανές - Τύποι, ταξινομήσεις και χρήσεις Γενική θεωρία δομικών μηχανών Χαρακτηριστικά υλικών Αντιστάσεις κίνησης

Διαβάστε περισσότερα

Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ

Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΣΗΜΑΤΩΝ, ΕΛΕΓΧΟΥ ΚΑΙ ΡΟΜΠΟΤΙΚΗΣ Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ

Διαβάστε περισσότερα

Κτίρια nζεβ και προσομοίωση με την χρήση του energy+

Κτίρια nζεβ και προσομοίωση με την χρήση του energy+ ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ Πτυχιακή εργασία Κτίρια nζεβ και προσομοίωση με την χρήση του energy+ Μυροφόρα Ιωάννου Λεμεσός, Μάιος 2017 ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ

Διαβάστε περισσότερα

Σύνθεση Ψηφιακών Συστηµάτων. Χ. Καβουσιανός

Σύνθεση Ψηφιακών Συστηµάτων. Χ. Καβουσιανός Σύνθεση Ψηφιακών Συστηµάτων Χ. Καβουσιανός Μικροηλεκτρονική Αυξανόµενο επίπεδο ολοκλήρωσης ηλεκτρονικών συσκευών Κατασκευή περίπλοκων συστηµάτων (VLSI) Αυξανόµενη πολυπλοκότητα καλύτερες διαδικασίες σχεδιασµού.

Διαβάστε περισσότερα

Context-aware και mhealth

Context-aware και mhealth ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΣΥΣΤΗΜΑΤΩΝ ΜΕΤΑΔΟΣΗΣ ΠΛΗΡΟΦΟΡΙΑΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΥΛΙΚΩΝ Context-aware και mhealth ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ Του Κουβαρά

Διαβάστε περισσότερα

Actual Chip Specification

Actual Chip Specification Actual Chip Specification May 12, 215 Nikos Moschopoulos, 2 Arithmetic Circuits Usage CPU: Fast GPU: Matrix Multiplication, MAC Crypto & PKC: modulo multiplication, addition SP: s, MAC NAN: Error Code

Διαβάστε περισσότερα

Μάθημα «Υπηρεσίες Ηλεκτρονικής Υγείας»

Μάθημα «Υπηρεσίες Ηλεκτρονικής Υγείας» Μάθημα «Υπηρεσίες Ηλεκτρονικής Υγείας» M. Σπανάκης, Μ. Τσικνάκης Εαρινό Εξάμηνο 2014 Μάθημα 1 Παρουσίαση Εργασίας και Εισαγωγή στην ανάλυση απαιτήσεων Εισαγωγή Αρχική συζήτηση αναφορικά με την ανάλυση

Διαβάστε περισσότερα

Ψηφιακά ολοκληρωμένα κυκλώματα

Ψηφιακά ολοκληρωμένα κυκλώματα Ψηφιακά ολοκληρωμένα κυκλώματα Εισαγωγή Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 O κόσμος των ηλεκτρονικών... Ψηφιακά ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

Dynamic Data Type Refinement Methodology

Dynamic Data Type Refinement Methodology School of Electrical and Computer Engineering N.T.U.A. Embedded System Design Lazaros Papadopoulos Dynamic Data Type Refinement Methodology Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες

Διαβάστε περισσότερα

Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος

Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος Low power techniques Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος Γενικά Τεχνικές βιοµηχανίας Μείωση χωρητικοτήτων chip και package Μέσω process development πολύ αποτελεσµατική

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν.

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων 1 Περίγραμμα παρουσίασης Ανάγκη για έλεγχο ορθής λειτουργίας Επιβεβαίωση σχεδιασμού έναντι επιβεβαίωσης ορθής λειτουργίας μετά την κατασκευή και

Διαβάστε περισσότερα

Παράλληλος προγραμματισμός περιστροφικών αλγορίθμων εξωτερικών σημείων τύπου simplex ΠΛΟΣΚΑΣ ΝΙΚΟΛΑΟΣ

Παράλληλος προγραμματισμός περιστροφικών αλγορίθμων εξωτερικών σημείων τύπου simplex ΠΛΟΣΚΑΣ ΝΙΚΟΛΑΟΣ Παράλληλος προγραμματισμός περιστροφικών αλγορίθμων εξωτερικών σημείων τύπου simplex ΠΛΟΣΚΑΣ ΝΙΚΟΛΑΟΣ Διπλωματική Εργασία Μεταπτυχιακού Προγράμματος στην Εφαρμοσμένη Πληροφορική Κατεύθυνση: Συστήματα Υπολογιστών

Διαβάστε περισσότερα

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I MIPS Η MIPS (Microprocessor without Interlocked Pipeline Stages) είναι μία αρχιτεκτονική συνόλου εντολών (ISA) γλώσσας μηχανής που αναπτύχθηκε από την εταιρεία

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL Περιγραφή Κυκλωμάτων με χρήση της VHDL Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL Οργάνωση Παρουσίασης VHDL εισαγωγικές έννοιες Ροή και επίπεδα σχεδιασμού ψηφιακών κυκλωμάτων Μοντελοποίηση Καθυστερήσεων

Διαβάστε περισσότερα

Συστήματα σε Ολοκληρωμένα Κυκλώματα

Συστήματα σε Ολοκληρωμένα Κυκλώματα Συστήματα σε Ολοκληρωμένα Κυκλώματα Κεφάλαιο 1: Τι, Γιατί και Πώς των MPsoCs Διδάσκων: Καθηγητής Οδυσσέας Κουφοπαύλου Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών ΕΙΣΑΓΩΓΗ Τα Multiprocessor

Διαβάστε περισσότερα

υπηρεσίες / services ΜΕΛΕΤΗ - ΣΧΕΔΙΑΣΜΟΣ PLANNING - DESIGN ΕΜΠΟΡΙΚΗ ΜΕΛΕΤΗ COMMERCIAL PLANNING ΕΠΙΠΛΩΣΗ - ΕΞΟΠΛΙΣΜΟΣ FURNISHING - EQUIPMENT

υπηρεσίες / services ΜΕΛΕΤΗ - ΣΧΕΔΙΑΣΜΟΣ PLANNING - DESIGN ΕΜΠΟΡΙΚΗ ΜΕΛΕΤΗ COMMERCIAL PLANNING ΕΠΙΠΛΩΣΗ - ΕΞΟΠΛΙΣΜΟΣ FURNISHING - EQUIPMENT Αρχιτεκτονικές και διακοσμητικές μελέτες, με λειτουργικό και σύγχρονο σχέδιασμό, βασισμένες στην μοναδικότητα του πελάτη. ΕΜΠΟΡΙΚΗ ΜΕΛΕΤΗ Ανάλυση των χαρακτηριστικών των προϊόντων και ένταξη του τρόπου

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ. Πτυχιακή εργασία

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ. Πτυχιακή εργασία ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ Πτυχιακή εργασία ΜΕΛΕΤΗ ΘΕΜΑΤΩΝ ΑΝΑΠΤΥΞΗΣ ΣΥΣΤΗΜΑΤΩΝ ΛΟΓΙΣΜΙΚΟΥ ΜΕ ΤΗ ΧΡΗΣΗ ΕΥΚΙΝΗΤΩΝ ΜΕΘΟΔΟΛΟΓΙΩΝ ΜΕΣΩ ΣΥΛΛΟΓΗΣ ΚΑΙ ΕΠΕΞΕΡΓΑΣΙΑΣ ΕΜΠΕΙΡΙΚΩΝ

Διαβάστε περισσότερα

Πολυκριτηριακός Γραμμικός Προγραμματισμός. Συστήματα Αποφάσεων Εργαστήριο Συστημάτων Αποφάσεων και Διοίκησης

Πολυκριτηριακός Γραμμικός Προγραμματισμός. Συστήματα Αποφάσεων Εργαστήριο Συστημάτων Αποφάσεων και Διοίκησης Πολυκριτηριακός Γραμμικός Προγραμματισμός Πολλαπλά κριτήρια στη λήψη απόφασης Λήψη Αποφάσεων με Πολλαπλά Κριτήρια Διακριτό σύνολο επιλογών Συνεχές σύνολο επιλογών Πολυκριτηριακή Ανάλυση (ELECTRE, Promethee,

Διαβάστε περισσότερα

ΜΗΤΡΙΚΟΣ ΘΗΛΑΣΜΟΣ ΚΑΙ ΓΝΩΣΤΙΚΗ ΑΝΑΠΤΥΞΗ ΜΕΧΡΙ ΚΑΙ 10 ΧΡΟΝΩΝ

ΜΗΤΡΙΚΟΣ ΘΗΛΑΣΜΟΣ ΚΑΙ ΓΝΩΣΤΙΚΗ ΑΝΑΠΤΥΞΗ ΜΕΧΡΙ ΚΑΙ 10 ΧΡΟΝΩΝ ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΝΟΣΗΛΕΥΤΙΚΗΣ ΜΗΤΡΙΚΟΣ ΘΗΛΑΣΜΟΣ ΚΑΙ ΓΝΩΣΤΙΚΗ ΑΝΑΠΤΥΞΗ ΜΕΧΡΙ ΚΑΙ 10 ΧΡΟΝΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ Ονοματεπώνυμο Κεντούλλα Πέτρου Αριθμός Φοιτητικής Ταυτότητας 2008761539 Κύπρος

Διαβάστε περισσότερα

Σχολή Μηχανικής και Τεχνολογίας. Πτυχιακή διατριβή

Σχολή Μηχανικής και Τεχνολογίας. Πτυχιακή διατριβή Σχολή Μηχανικής και Τεχνολογίας Πτυχιακή διατριβή ΠΕΙΡΑΜΑΤΙΚΗ ΑΞΙΟΛΟΓΗΣΗ ΑΝΤΙΚΑΤΑΣΤΑΣΗΣ ΜΕΡΟΥΣ ΤΟΥ ΚΑΥΣΙΜΟΥ ΠΟΥ ΚΑΤΑΝΑΛΩΝΕΙ ΒΕΝΖΙΝΟΚΙΝΗΤΗΡΑΣ ΜΕ ΥΔΡΟΓΟΝΟ ΤΟ ΟΠΟΙΟ ΘΑ ΠΑΡΑΓΕΤΑΙ ΜΕ ΑΝΑΚΤΗΣΗ ΕΝΕΡΓΕΙΑΣ ΚΑΤΑ

Διαβάστε περισσότερα

Συστήματα σε Ολοκληρωμένα Κυκλώματα

Συστήματα σε Ολοκληρωμένα Κυκλώματα Συστήματα σε Ολοκληρωμένα Κυκλώματα Κεφάλαιο 4: Αρχιτεκτονική των Embedded Μικροεπεξεργαστών Διδάσκων: Καθηγητής Οδυσσέας Κουφοπαύλου Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών ΕΙΣΑΓΩΓΗ Παρουσιάζεται

Διαβάστε περισσότερα

Έξυπνα ενεργειακά δίκτυα

Έξυπνα ενεργειακά δίκτυα Ανώτατο Εκπαιδευτικό Ίδρυµα Πειραιά Τεχνολογικού Τοµέα Τµήµα Ηλεκτρονικών Μηχανικών Τ.Ε. Πτυχιακή Εργασία Φοιτητής: Θάνος Ανδρέας ΑΜ: 42132 Φοιτητής: Τερζής Αλέξιος ΑΜ: 42564 Επιβλέπων Καθηγητής Απόστολος

Διαβάστε περισσότερα

Μεταπτυχιακή διατριβή. Ανδρέας Παπαευσταθίου

Μεταπτυχιακή διατριβή. Ανδρέας Παπαευσταθίου Σχολή Γεωτεχνικών Επιστημών και Διαχείρισης Περιβάλλοντος Μεταπτυχιακή διατριβή Κτίρια σχεδόν μηδενικής ενεργειακής κατανάλωσης :Αξιολόγηση συστημάτων θέρμανσης -ψύξης και ΑΠΕ σε οικιστικά κτίρια στην

Διαβάστε περισσότερα

Εισαγωγή στα Συστήματα Ψηφιακής Επεξεργασίας Σήματος

Εισαγωγή στα Συστήματα Ψηφιακής Επεξεργασίας Σήματος ΕΣ 08 Επεξεργαστές Ψηφιακών Σημάτων Εισαγωγή στα Συστήματα Ψηφιακής Επεξεργασίας Σήματος Κλήμης Νταλιάνης Λέκτορας Π.Δ.407/80 Τμήμα Επιστήμη και Τεχνολογίας Τηλεπικοινωνιών Πανεπιστήμιο Πελοποννήσου Αρχιτεκτονική

Διαβάστε περισσότερα

ΑΠΟΔΟΤΙΚΗ ΑΠΟΤΙΜΗΣΗ ΕΡΩΤΗΣΕΩΝ OLAP Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ. Υποβάλλεται στην

ΑΠΟΔΟΤΙΚΗ ΑΠΟΤΙΜΗΣΗ ΕΡΩΤΗΣΕΩΝ OLAP Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ. Υποβάλλεται στην ΑΠΟΔΟΤΙΚΗ ΑΠΟΤΙΜΗΣΗ ΕΡΩΤΗΣΕΩΝ OLAP Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ Υποβάλλεται στην ορισθείσα από την Γενική Συνέλευση Ειδικής Σύνθεσης του Τμήματος Πληροφορικής Εξεταστική Επιτροπή από την Χαρά Παπαγεωργίου

Διαβάστε περισσότερα

Πιο συγκεκριμένα, η χρήση του MATLAB προσφέρει τα ακόλουθα πλεονεκτήματα.

Πιο συγκεκριμένα, η χρήση του MATLAB προσφέρει τα ακόλουθα πλεονεκτήματα. i Π Ρ Ο Λ Ο Γ Ο Σ Το βιβλίο αυτό αποτελεί μια εισαγωγή στα βασικά προβλήματα των αριθμητικών μεθόδων της υπολογιστικής γραμμικής άλγεβρας (computational linear algebra) και της αριθμητικής ανάλυσης (numerical

Διαβάστε περισσότερα

Bizagi Modeler: Συνοπτικός Οδηγός

Bizagi Modeler: Συνοπτικός Οδηγός Bizagi Modeler: Συνοπτικός Οδηγός Α. Τσαλγατίδου - Γ.-Δ. Κάπος Πρόγραμμα Μεταπτυχιακών Σπουδών Τεχνολογία Διοίκησης Επιχειρησιακών Διαδικασιών 2017-2018 Bizagi Modeler Εμπορική εφαρμογή για μοντελοποίηση

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα

«Αξιολόγηση ατόμων με αφασία για Επαυξητική και Εναλλακτική Επικοινωνία, σύμφωνα με το μοντέλο συμμετοχής»

«Αξιολόγηση ατόμων με αφασία για Επαυξητική και Εναλλακτική Επικοινωνία, σύμφωνα με το μοντέλο συμμετοχής» Σχολή Επιστημών Υγείας Τμήμα Αποκατάστασης ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ «Αξιολόγηση ατόμων με αφασία για Επαυξητική και Εναλλακτική Επικοινωνία, σύμφωνα με το μοντέλο συμμετοχής» Χρυσάνθη Μοδέστου Λεμεσός, Μάιος,

Διαβάστε περισσότερα

Προηγμένες Τεχνικές Παράλληλου Προγραμματισμού και Πλέγματος για Συστήματα Ασύρματων Επικοινωνιών ΔΙΔΑΚΤΟΡΙΚΗ ΔΙΑΤΡΙΒΗ. Θεόδωρος Ε.

Προηγμένες Τεχνικές Παράλληλου Προγραμματισμού και Πλέγματος για Συστήματα Ασύρματων Επικοινωνιών ΔΙΔΑΚΤΟΡΙΚΗ ΔΙΑΤΡΙΒΗ. Θεόδωρος Ε. ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΙ ΧΑΝΙΚΩΝ ΚΑΙ ΜΙ ΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΣΥΣΤΗΜΑΤΩΝ ΜΕΤΑΔΟΣΗΣ ΠΛΗΡΟΦΟΡΙΑΣ KΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΥΛΙΚΩΝ Προηγμένες Τεχνικές Παράλληλου Προγραμματισμού και Πλέγματος

Διαβάστε περισσότερα

Να οδηγηθούμε σε μια αρχιτεκτονική που έχει μεγάλο αριθμό καταχωρητών και να εφαρμόσουμε τεχνική ελαχιστοποίησης καταχωρητών

Να οδηγηθούμε σε μια αρχιτεκτονική που έχει μεγάλο αριθμό καταχωρητών και να εφαρμόσουμε τεχνική ελαχιστοποίησης καταχωρητών Folding Να καθορίσουμε συστηματικά τα κυκλώματα ελέγχου μιας DSP αρχιτεκτονικής χρησιμοποιώντας folding μετασχηματισμό ώστε να πραγματοποιούμε πολλαπλές αλγοριθμικές πράξεις σε ένα λειτουργικό στοιχείο

Διαβάστε περισσότερα

ιαµέριση - Partitioning

ιαµέριση - Partitioning ιαµέριση - Partitioning ιαµέριση ιαµέριση είναι η διαµοίραση αντικειµένων σε οµάδες µε στόχο την βελτιστοποίηση κάποιας συνάρτησης. Στην σύνθεση η διαµέριση χρησιµοποιείται ως εξής: Οµαδοποίηση µεταβλητών

Διαβάστε περισσότερα

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης i Στα σύγχρονα

Διαβάστε περισσότερα

ΒΕΛΤΙΣΤΟΣ ΣΧΕΔΙΑΣΜΟΣ ΤΩΝ ΚΑΤΑΣΚΕΥΩΝ. Δρ. Πολ. Μηχ. Κόκκινος Οδυσσέας

ΒΕΛΤΙΣΤΟΣ ΣΧΕΔΙΑΣΜΟΣ ΤΩΝ ΚΑΤΑΣΚΕΥΩΝ. Δρ. Πολ. Μηχ. Κόκκινος Οδυσσέας ΒΕΛΤΙΣΤΟΣ ΣΧΕΔΙΑΣΜΟΣ ΤΩΝ ΚΑΤΑΣΚΕΥΩΝ Δρ. Πολ. Μηχ. Κόκκινος Οδυσσέας Σχεδιασμός αντικειμένων, διεργασιών, δραστηριοτήτων (π.χ. τεχνικά έργα, έπιπλα, σκεύη κτλ) ΠΡΟΚΑΤΑΡΚΤΙΚΗ ΜΕΛΕΤΗ (conceptual design) ΠΡΟΜΕΛΕΤΗ

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Αρχιτεκτονική Υπολογιστών Παραλληλισμός Βασικές Πηγές: Αρχιτεκτονική Υπολογιστών: μια Δομημένη Προσέγγιση, Α. Tanenbaum, Vrije Universiteit, Amsterdam. Computer Architecture and Engineering, K. Asanovic,

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ. Πτυχιακή Εργασία

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ. Πτυχιακή Εργασία ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ Πτυχιακή Εργασία Γνώση και στάση νοσηλευτών στη διαχείριση του πόνου καρκινοπαθών που νοσηλεύονται Παναγιώτης Χαραλάμπους Λεμεσός, 2014 i ΤΕΧΝΟΛΟΓΙΚΟ

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ Ανάπτυξη μιας προσαρμοστικής πολιτικής αντικατάστασης αρχείων, με χρήση

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 4 : Κρυφή Μνήμη Καρβούνης Ευάγγελος Δευτέρα, 30/11/2015 Χαρακτηριστικά Θέση Χωρητικότητα Μονάδα Μεταφοράς

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ. Πτυχιακή εργασία ΔΙΕΡΕΥΝΗΣΗ ΤΗΣ ΠΟΙΟΤΗΤΑΣ ΖΩΗΣ ΣΕ ΕΦΗΒΟΥΣ ΜΕ ΣΑΚΧΑΡΩΔΗ ΔΙΑΒΗΤΗ.

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ. Πτυχιακή εργασία ΔΙΕΡΕΥΝΗΣΗ ΤΗΣ ΠΟΙΟΤΗΤΑΣ ΖΩΗΣ ΣΕ ΕΦΗΒΟΥΣ ΜΕ ΣΑΚΧΑΡΩΔΗ ΔΙΑΒΗΤΗ. ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ Πτυχιακή εργασία ΔΙΕΡΕΥΝΗΣΗ ΤΗΣ ΠΟΙΟΤΗΤΑΣ ΖΩΗΣ ΣΕ ΕΦΗΒΟΥΣ ΜΕ ΣΑΚΧΑΡΩΔΗ ΔΙΑΒΗΤΗ Φίλιππος Λουκά Λεμεσός 2014 ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ

Διαβάστε περισσότερα

ΚΒΑΝΤΙΚΟΙ ΥΠΟΛΟΓΙΣΤΕΣ

ΚΒΑΝΤΙΚΟΙ ΥΠΟΛΟΓΙΣΤΕΣ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. ΚΒΑΝΤΙΚΟΙ ΥΠΟΛΟΓΙΣΤΕΣ Πτυχιακή Εργασία Φοιτητής: ΜIΧΑΗΛ ΖΑΓΟΡΙΑΝΑΚΟΣ ΑΜ: 38133 Επιβλέπων Καθηγητής Καθηγητής Ε.

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 10: Ιεραρχία Μνήμης. Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Υλοποίηση ΥΛΟΠΟΙΗΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΔΙΑΚΡΙΤΑ ΣΤΟΙΧΕΙΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΑΝΑΔΙΑΜΟΡΦΩΣΙΜΟ ΥΛΙΚΟ Ο.Κ. ΕΙΔΙΚΟΥ ΣΚΟΠΟΥ (VLSI) FULL CUSTOM (Reconfigurable

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2006-2007 Ροή Σχεδίασης Κυκλωµάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: οµική µονάδα κυκλωµάτων Τα ολοκληρωµένα κυκλώµατα

Διαβάστε περισσότερα

Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ταχύτητα εκτέλεσης Χρόνος εκτέλεσης = (αριθμός εντολών που εκτελούνται) Τί έχει σημασία: Χ (χρόνος εκτέλεσης εντολής) Αριθμός

Διαβάστε περισσότερα

Τεχνολογίες Κύριας Μνήμης

Τεχνολογίες Κύριας Μνήμης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κύρια Μνήμη

Διαβάστε περισσότερα

Αρχιτεκτονική Λογισμικού

Αρχιτεκτονική Λογισμικού Αρχιτεκτονική Λογισμικού περιεχόμενα παρουσίασης Τι είναι η αρχιτεκτονική λογισμικού Αρχιτεκτονική και απαιτήσεις Σενάρια ποιότητας Βήματα αρχιτεκτονικής σχεδίασης Αρχιτεκτονικά πρότυπα Διαστρωματωμένη

Διαβάστε περισσότερα

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Φεβ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 3 -i: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Περίληψη Αρχές σχεδιασµού Ιεραρχία σχεδιασµού Σχεδιασµός

Διαβάστε περισσότερα

ΔΙΠΛΩΜΑΤΙΚΕΣ ΕΡΓΑΣΙΕΣ 2011-2012

ΔΙΠΛΩΜΑΤΙΚΕΣ ΕΡΓΑΣΙΕΣ 2011-2012 ΔΙΠΛΩΜΑΤΙΚΕΣ ΕΡΓΑΣΙΕΣ 2011-2012 Επικ. Καθηγητής Δημήτριος Σούντρης Θεματική Ενότητα: Ενσωματωμένα Συστήματα Θέμα 1 Μεθοδολογία εκτίμησης επιδόσεων ιεραρχιών σκιώδους μνήμης εντολών σε ενσωματωμένα συστήματα

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΓΕΩΤΕΧΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΔΙΑΧΕΙΡΙΣΗΣ ΠΕΡΙΒΑΛΛΟΝΤΟΣ. Πτυχιακή εργασία

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΓΕΩΤΕΧΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΔΙΑΧΕΙΡΙΣΗΣ ΠΕΡΙΒΑΛΛΟΝΤΟΣ. Πτυχιακή εργασία ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΓΕΩΤΕΧΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΔΙΑΧΕΙΡΙΣΗΣ ΠΕΡΙΒΑΛΛΟΝΤΟΣ Πτυχιακή εργασία ΜΑΘΗΜΑΤΙΚΟΙ ΑΛΓΟΡΙΘΜΟΙ ΓΙΑ ΑΝΑΛΥΣΗ ΠΙΣΤΟΠΟΙΗΤΙΚΩΝ ΕΝΕΡΓΕΙΑΚΗΣ ΑΠΟΔΟΣΗΣ ΚΤΙΡΙΩΝ Εβελίνα Θεμιστοκλέους

Διαβάστε περισσότερα

Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή

Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή Δοκιμή ολοκληρωμένων κυκλωμάτων με χρήση του Inovys Personal Ocelot και

Διαβάστε περισσότερα

http://www.cslab.ece.ntua.gr/diplom/

http://www.cslab.ece.ntua.gr/diplom/ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ KΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ http://www.cslab.ece.ntua.gr/ ιπλωµατική

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ ΕΘΝΙΚΟΝ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟΝ ΠΑΝΕΠΙΣΤΗΜΙΟΝ ΑΘΗΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΠΠΣ Πληροφορικής και Τηλεπικοινωνιών, E Εξάμηνο

Διαβάστε περισσότερα

Πτυχιακή διατριβή. Η επίδραση της τασιενεργής ουσίας Ακεταλδεΰδης στη δημιουργία πυρήνων συμπύκνωσης νεφών (CCN) στην ατμόσφαιρα

Πτυχιακή διατριβή. Η επίδραση της τασιενεργής ουσίας Ακεταλδεΰδης στη δημιουργία πυρήνων συμπύκνωσης νεφών (CCN) στην ατμόσφαιρα ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΓΕΩΤΕΧΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΔΙΑΧΕΙΡΙΣΗΣ ΠΕΡΙΒΑΛΛΟΝΤΟΣ Πτυχιακή διατριβή Η επίδραση της τασιενεργής ουσίας Ακεταλδεΰδης στη δημιουργία πυρήνων συμπύκνωσης νεφών (CCN)

Διαβάστε περισσότερα

ΕΛΕΓΧΟΣ ΠΑΡΑΓΩΓΙΚΩΝ ΔΙΕΡΓΑΣΙΩΝ

ΕΛΕΓΧΟΣ ΠΑΡΑΓΩΓΙΚΩΝ ΔΙΕΡΓΑΣΙΩΝ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΕΓΧΟΣ ΠΑΡΑΓΩΓΙΚΩΝ ΔΙΕΡΓΑΣΙΩΝ Ενότητα: Αναγνώριση Διεργασίας - Προσαρμοστικός Έλεγχος (Process Identification) Αλαφοδήμος Κωνσταντίνος

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ. Πτυχιακή εργασία ΕΤΟΙΜΑΣΙΑ ΔΕΛΤΙΟΥ ΠΟΣΟΤΗΤΩΝ ΜΕ ΤΗ ΧΡΗΣΗ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ. Πτυχιακή εργασία ΕΤΟΙΜΑΣΙΑ ΔΕΛΤΙΟΥ ΠΟΣΟΤΗΤΩΝ ΜΕ ΤΗ ΧΡΗΣΗ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ Πτυχιακή εργασία ΕΤΟΙΜΑΣΙΑ ΔΕΛΤΙΟΥ ΠΟΣΟΤΗΤΩΝ ΜΕ ΤΗ ΧΡΗΣΗ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ Χρύσω Κωνσταντίνου Λεμεσός 2016 ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Ιεραρχία συχνά και το

Διαβάστε περισσότερα

i Throughput: Ο ρυθμός ολοκλήρωσης έργου σε συγκεκριμένο χρόνο

i Throughput: Ο ρυθμός ολοκλήρωσης έργου σε συγκεκριμένο χρόνο Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 6-7 Απόδοση ΚΜΕ (Μέτρηση και τεχνικές βελτίωσης απόδοσης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κεντρική Μονάδα Επεξεργασίας

Διαβάστε περισσότερα

ΣΤΙΓΜΙΑΙΑ ΚΑΤΑΣΚΕΥΗ ΣΤΕΡΕΟΥ ΜΕΙΓΜΑΤΟΣ ΥΛΙΚΟΥ ΜΕΣΑ ΑΠΟ ΕΛΕΓΧΟΜΕΝΗ ΦΥΣΙΚΗ ΔΙΑΔΙΚΑΣΙΑ

ΣΤΙΓΜΙΑΙΑ ΚΑΤΑΣΚΕΥΗ ΣΤΕΡΕΟΥ ΜΕΙΓΜΑΤΟΣ ΥΛΙΚΟΥ ΜΕΣΑ ΑΠΟ ΕΛΕΓΧΟΜΕΝΗ ΦΥΣΙΚΗ ΔΙΑΔΙΚΑΣΙΑ Σχολή Μηχανικής και Τεχνολογίας Πτυχιακή εργασία ΣΤΙΓΜΙΑΙΑ ΚΑΤΑΣΚΕΥΗ ΣΤΕΡΕΟΥ ΜΕΙΓΜΑΤΟΣ ΥΛΙΚΟΥ ΜΕΣΑ ΑΠΟ ΕΛΕΓΧΟΜΕΝΗ ΦΥΣΙΚΗ ΔΙΑΔΙΚΑΣΙΑ Χριστόδουλος Χριστοδούλου Λεμεσός, Μάϊος 2017 ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ

Διαβάστε περισσότερα

Ασφάλεια σε χώρους αναψυχής: Ένα σύστημα από έξυπνα αντικείμενα

Ασφάλεια σε χώρους αναψυχής: Ένα σύστημα από έξυπνα αντικείμενα Σχολή Επικοινωνίας και Μέσων Ενημέρωσης Πτυχιακή εργασία Ασφάλεια σε χώρους αναψυχής: Ένα σύστημα από έξυπνα αντικείμενα Εύρος Χριστοδούλου Λεμεσός, Μάιος 2018 ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΚΟΙΝΩΝΙΑΣ

Διαβάστε περισσότερα

ΠΟΩΤΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΕΡΓΑΣΤΗΡΙΟ ΔΤΝΑΜΙΚΗΣ ΜΗΧΑΝΩΝ

ΠΟΩΤΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΕΡΓΑΣΤΗΡΙΟ ΔΤΝΑΜΙΚΗΣ ΜΗΧΑΝΩΝ ΡΙΣΤΟΤΕΩΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΟΕΣΣΑΩΟΝΙΚΗΣ ΠΟΩΤΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΤΑΣΚΕΤΑΣΤΙΚΟΣ ΤΟΜΕΑΣ ΕΡΓΑΣΤΗΡΙΟ ΔΤΝΑΜΙΚΗΣ ΜΗΧΑΝΩΝ Γεωργία N. Γεωργίου Διπλ. Μηχανολόγος Μηχανικός A.Π.O. ΙΖΡΟΣΔΙΟΡΙΣΜΟΣ

Διαβάστε περισσότερα

Πτυχιακή Εργασία ηµιουργία Εκπαιδευτικού Παιχνιδιού σε Tablets Καλλιγάς ηµήτρης Παναγιώτης Α.Μ.: 1195 Επιβλέπων καθηγητής: ρ. Συρµακέσης Σπύρος ΑΝΤΙΡΡΙΟ 2015 Ευχαριστίες Σ αυτό το σηµείο θα ήθελα να

Διαβάστε περισσότερα

Ο νοσηλευτικός ρόλος στην πρόληψη του μελανώματος

Ο νοσηλευτικός ρόλος στην πρόληψη του μελανώματος ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ Πτυχιακή διατριβή Ο νοσηλευτικός ρόλος στην πρόληψη του μελανώματος Ονοματεπώνυμο: Αρτέμης Παναγιώτου Επιβλέπων καθηγητής: Δρ. Αντρέας Χαραλάμπους

Διαβάστε περισσότερα

Η Επίδραση των Events στην Απόδοση των Μετοχών

Η Επίδραση των Events στην Απόδοση των Μετοχών Χρηματοοικονομικά και Διοίκηση Μεταπτυχιακή διατριβή Η Επίδραση των Events στην Απόδοση των Μετοχών Άντρεα Φωτίου Λεμεσός, Μάιος 2018 ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΔΙΟΙΚΗΣΗΣ

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ ΠΡΑΞΕΙΣ ΜΕ ΠΡΟΣΗΜΑΣΜΕΝΟΥΣ ΑΡΙΘΜΟΥΣ ΚΥΚΛΩΜΑΤΙΚΕΣ ΕΦΑΡΜΟΓΕΣ ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ & ΠΑΡΑΣΤΑΣΗ ΑΡΙΘΜΩΝ Συμπλήρωμα ως προς 2 Booth, Modified Booth Reduntant αριθμητικά συστήματα Signed Digit αριθμητική Κανονική

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ "ΤΕΧΝΟΛΟΓΙΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΣΤΗΝ ΙΑΤΡΙΚΗ ΚΑΙ ΤΗ ΒΙΟΛΟΓΙΑ" ΔΙΠΛΩΜΑΤΙΚΗ

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 13: (Μέρος Γ ) Συστήματα Παράλληλης & Κατανεμημένης Επεξεργασίας Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών

Διαβάστε περισσότερα

Μεταπτυχιακή εργασία

Μεταπτυχιακή εργασία ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΜΗΧΑΝΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ Μεταπτυχιακή εργασία ΑΝΙΧΝΕΥΣΗ ΚΑΥΤΩΝ ΣΗΜΕΙΩΝ ΣΕ ΟΛΟΚΛΗΡΩΜΕΝΑ ΕΝΔΟ-ΣΥΝΔΕΟΜΕΝΑ ΔΙΚΤΥΑ ΜΕ ΤΗ ΧΡΗΣΗ ΑΣΑΦΟΥΣ ΛΟΓΙΚΗΣ

Διαβάστε περισσότερα

ΔΗΜΙΟΥΡΓΙΑ ΣΥΣΤΗΜΑΤΟΣ ΔΕΙΚΤΩΝ ΑΣΤΙΚΗΣ ΒΙΩΣΙΜΟΤΗΤΑΣ ΓΙΑ ΤΗΝ ΕΠΑΡΧΙΑ ΛΕΜΕΣΟΥ

ΔΗΜΙΟΥΡΓΙΑ ΣΥΣΤΗΜΑΤΟΣ ΔΕΙΚΤΩΝ ΑΣΤΙΚΗΣ ΒΙΩΣΙΜΟΤΗΤΑΣ ΓΙΑ ΤΗΝ ΕΠΑΡΧΙΑ ΛΕΜΕΣΟΥ Σχολή Γεωτεχνικών Επιστημών και Διαχείρισης Περιβάλλοντος Μεταπτυχιακή διατριβή ΔΗΜΙΟΥΡΓΙΑ ΣΥΣΤΗΜΑΤΟΣ ΔΕΙΚΤΩΝ ΑΣΤΙΚΗΣ ΒΙΩΣΙΜΟΤΗΤΑΣ ΓΙΑ ΤΗΝ ΕΠΑΡΧΙΑ ΛΕΜΕΣΟΥ Παρασκευή Νταϊλιάνη Λεμεσός, Μάιος, 2017 TΕΧΝΟΛΟΓΙΚΟ

Διαβάστε περισσότερα

Μεταπτυχιακή διατριβή

Μεταπτυχιακή διατριβή ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΓΕΩΤΕΧΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΔΙΑΧΕΙΡΙΣΗΣ ΠΕΡΙΒΑΛΛΟΝΤΟΣ Μεταπτυχιακή διατριβή «100% Α.Π.Ε.» : ΤΕΧΝΙΚΕΣ ΚΑΙ ΟΙΚΟΝΟΜΙΚΕΣ ΠΡΟΚΛΗΣΕΙΣ ΓΙΑ ΤΗΝ ΠΛΗΡΗ ΥΠΟΚΑΤΑΣΤΑΣΗ ΤΩΝ ΣΥΜΒΑΤΙΚΩΝ

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ, ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΚΑΙ ΙΚΤΥΩΝ Τοµέας Υλικού και Αρχιτεκτονικής Υπολογιστών ΗΥ232 - Ψηφιακή Σχεδίαση µε CAD ΙΙ Design Flow Simulation - Synthesis

Διαβάστε περισσότερα

ΑΝΙΧΝΕΥΣΗ ΦΩΤΙΑΣ. Χαοτικό φαινόμενο, με ακανόνιστο σχήμα Βασικό χαρακτηριστικό της φωτιάς είναι το χρώμα

ΑΝΙΧΝΕΥΣΗ ΦΩΤΙΑΣ. Χαοτικό φαινόμενο, με ακανόνιστο σχήμα Βασικό χαρακτηριστικό της φωτιάς είναι το χρώμα ΕΙΣΑΓΩΓΗ Έντονη ερευνητική δραστηριότητα για την ανακάλυψη του τέλειου αλγορίθμου πρόβλεψης πυρκαγιάς Χρήση ενσωματωμένων συστημάτων Στόχος της εργασίας είναι η σχεδίαση και η υλοποίηση ενός αυτόνομου

Διαβάστε περισσότερα

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές

Διαβάστε περισσότερα

ΧΡΗΣΗ ΤΟΥ ΠΡΟΪΟΝΤΟΣ ΤΗΣ ΗΛΕΚΤΡΟΛΥΣΗΣ ΝΕΡΟΥ ΩΣ ΠΡΟΣΘΕΤΟ ΚΑΥΣΙΜΟΥ ΣΕ ΜΗΧΑΝΗ ΕΣΩΤΕΡΙΚΗΣ ΚΑΥΣΗΣ

ΧΡΗΣΗ ΤΟΥ ΠΡΟΪΟΝΤΟΣ ΤΗΣ ΗΛΕΚΤΡΟΛΥΣΗΣ ΝΕΡΟΥ ΩΣ ΠΡΟΣΘΕΤΟ ΚΑΥΣΙΜΟΥ ΣΕ ΜΗΧΑΝΗ ΕΣΩΤΕΡΙΚΗΣ ΚΑΥΣΗΣ Σχολή Γεωτεχνικών Επιστημών και Επιστήμης & Τεχνολογίας Περιβάλλοντος Πτυχιακή εργασία ΧΡΗΣΗ ΤΟΥ ΠΡΟΪΟΝΤΟΣ ΤΗΣ ΗΛΕΚΤΡΟΛΥΣΗΣ ΝΕΡΟΥ ΩΣ ΠΡΟΣΘΕΤΟ ΚΑΥΣΙΜΟΥ ΣΕ ΜΗΧΑΝΗ ΕΣΩΤΕΡΙΚΗΣ ΚΑΥΣΗΣ Φωκίων Τάνου Λεμεσός,

Διαβάστε περισσότερα

Γιπλυμαηική Δπγαζία. «Ανθπυποκενηπικόρ ζσεδιαζμόρ γέθςπαρ πλοίος» Φοςζιάνηρ Αθανάζιορ. Δπιβλέπυν Καθηγηηήρ: Νηθφιανο Π. Βεληίθνο

Γιπλυμαηική Δπγαζία. «Ανθπυποκενηπικόρ ζσεδιαζμόρ γέθςπαρ πλοίος» Φοςζιάνηρ Αθανάζιορ. Δπιβλέπυν Καθηγηηήρ: Νηθφιανο Π. Βεληίθνο ΔΘΝΙΚΟ ΜΔΣΟΒΙΟ ΠΟΛΤΣΔΥΝΔΙΟ ΥΟΛΗ ΝΑΤΠΗΓΩΝ ΜΗΥΑΝΟΛΟΓΩΝ ΜΗΥΑΝΙΚΩΝ Γιπλυμαηική Δπγαζία «Ανθπυποκενηπικόρ ζσεδιαζμόρ γέθςπαρ πλοίος» Φοςζιάνηρ Αθανάζιορ Δπιβλέπυν Καθηγηηήρ: Νηθφιανο Π. Βεληίθνο Σπιμελήρ Δξεηαζηική

Διαβάστε περισσότερα

Chapter 4 ( ή 1 στο βιβλίο σας)

Chapter 4 ( ή 1 στο βιβλίο σας) Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy Chapter 4 ( ή 1 στο βιβλίο σας) Αξιολόγηση και κατανόηση της απόδοσης Δέκατη (10 η ) δίωρη διάλεξη. Διαφάνειες διδασκαλίας από

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ. Πτυχιακή Εργασία

ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ. Πτυχιακή Εργασία ΤΕΧΝΟΛΟΓΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΥΓΕΙΑΣ Πτυχιακή Εργασία Ο ΜΗΤΡΙΚΟΣ ΘΗΛΑΣΜΟΣ ΚΑΙ Η ΣΧΕΣΗ ΤΟΥ ΜΕ ΤΟ ΚΑΡΚΙΝΟ ΤΟΥ ΜΑΣΤΟΥΣ ΣΤΙΣ ΓΥΝΑΙΚΕΣ ΠΟΥ ΕΙΝΑΙ ΦΟΡΕΙΣ ΤΟΥ ΟΓΚΟΓΟΝΙΔΙΟΥ BRCA1 ΚΑΙ BRCA2. Βασούλλα

Διαβάστε περισσότερα

ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΠΟΛΙΤΙΚΩΝ ΜΗΧΑΝΙΚΩΝ ΤΟΜΕΑΣ ΥΔΡΑΥΛΙΚΗΣ ΚΑΙ ΤΕΧΝΙΚΗΣ ΠΕΡΙΒΑΛΛΟΝΤΟΣ

ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΠΟΛΙΤΙΚΩΝ ΜΗΧΑΝΙΚΩΝ ΤΟΜΕΑΣ ΥΔΡΑΥΛΙΚΗΣ ΚΑΙ ΤΕΧΝΙΚΗΣ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΠΟΛΙΤΙΚΩΝ ΜΗΧΑΝΙΚΩΝ ΤΟΜΕΑΣ ΥΔΡΑΥΛΙΚΗΣ ΚΑΙ ΤΕΧΝΙΚΗΣ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΕΥΑΓΓΕΛΙΑΣ Π. ΛΟΥΚΟΓΕΩΡΓΑΚΗ Διπλωματούχου Πολιτικού Μηχανικού ΟΛΟΚΛΗΡΩΜΕΝΟ

Διαβάστε περισσότερα

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Για βελτίωση της απόδοσης

Διαβάστε περισσότερα