HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης RAM

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης RAM"

Transcript

1 HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν 1 ΗΥ330 - Διάλεξη 12η - Κυκλώματα Μνήμης Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 2 1

2 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ MOS NAND ROM Ισοδύναμα μοντέλα Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 3 Είδη Ολοκληρωμένων Μνημών Μνήμη Ανάγνωσης και Εγγραφής Random Access Τυχαίας (Αυθαίρετης) Πρόσβασης Non-Random Access Μη Τυχαίας (μη αυθαίρετης) πρόσβασης Μη-Προσωρινές Μνήμες EPROM (Electrically Programmable ROM) E 2 PROM FLASH Μνήμη Μόνο Ανάγνωσης PROM (Programmable ROM) SRAM (Στατική RAM) DRAM (Δυναμική RAM) FIFO (First-In, First- Out) LIFO (Last-In, First- Out) Καταχωρητής Ολίσθησης CAM (Content- Addressable Memory προσβάσιμη βάση περιεχομένων 4 2

3 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ MOS NAND ROM Ισοδύναμα μοντέλα Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 5 Χρονισμός Μνήμης - Ορισμοί 6 3

4 Decoder 1/2/2015 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ MOS NAND ROM Ισοδύναμα μοντέλα Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 7 Αρχιτεκτονική Μνήμης Αποκωδικοποιητές M bits M bits S 0 Word 0 S 0 Word 0 words S 1 S 2 Word 1 Word 2 Storage cell A 0 A 1 Word 1 Word 2 Storage cell N S N-2 Word N2 2 A K-1 Word N2 2 S N-1 Word N2 1 Word N2 1 K = log 2 N Input-Output (M bits) Input-Output (M bits) Για πρόσβαση Ν λέξεων απαιτούνται Ν σήματα Με την χρήση αποκωδικοποιητή τα μειώνουμε σε log 2 N 8 4

5 Δομή και Αρχιτεκτονική Μνήμης Α Κ Α Κ+1 Α L-1 Ενίσχυση σήματος στα ψηφιακά επίπεδα VDD/VSS Α 0 Α Κ-1 Επιλογή κατάλληλης λέξης 9 Ιεραρχική Οργάνωση Μνήμης Καλύτερη κατανάλωση (1 μονάδα ενεργή την φορά) Καλύτερη ταχύτητα, αν οι μονάδες αποθηκεύουν γειτονικές διευθύνσεις 10 5

6 I/O Buffers Commands Address Decoder 2 9 Validity Bits Priority Encoder 1/2/2015 Σχεδιάγραμμα 4Mbit SRAM Clock generator Z-address buffer X-address buffer Predecoder and block selector Bit line load Sub-global Row Decoder Global Row Decoder Sub-global Row Decoder Block 30 Block 31 CS, WE buffer I/O buffer Transfer gate Column decoder Sense amplifier and write driver x1/x4 controller Y-address buffer X-address buffer Local Row Decoder 11 Μνήμη Προσπέλασης Βάση Περιεχομένων (Content-Addressable Memory) Data (64 bits) Comparand Mask Control Logic R/W Address (9 bits) CAM Array 2 9 words 3 64 bits 12 6

7 Χρονισμός Μνημών DRAM Σειρά και Στήλη SRAM Βάση Διεύθυνσης 13 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 14 7

8 Κύτταρα Μόνο-Ανάγνωσης (ROM) 1 WL WL WL 0 WL WL WL GND Diode ROM MOS ROM 1 MOS ROM 2 15 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 16 8

9 MOS OR ROM [0] [1] [2] [3] WL[0] WL[1] WL[2] WL[3] V bias 17 Φορτία Καθέλκυσης MOS NOR ROM Συσκευές Ανέλκυσης WL[0] WL[1] GND WL[2] GND WL[3] [0] [1] [2] [3] 18 9

10 MOS NOR Διάταξη Cell (9.5l x 7l) WL[0] GND WL[1] Προγραμματισμός βάση του επιπέδου διάχυσης WL[2] GND WL[3] Polysilicon Metal1 Diffusion Metal1 on Diffusion 19 MOS NOR Διάταξη Cell (11l x 7l) WL[0] GND WL[1] Προγραμματισμός μέσω των επαφών WL[2] GND WL[3] Polysilicon Metal1 Diffusion Metal1 on Diffusion 20 10

11 MOS NAND ROM Pull-up devices [0] [1] [2] [3] WL[0] WL[1] WL[2] WL[3] Τα σήματα λέξης WL είναι ενεργά αρνητικά (0 = ενεργό) 21 MOS NAND ROM Διάταξη [0] [1] [2] [3] Cell (8l x 7l) WL[0] WL[1] WL[2] Προγραμματισμός βάση του Μετάλλου 1 Δεν απαιτούνται ενδιάμεσες επαφές Μικρότερο μέγεθος Χαμηλότερης απόδοσης από την NOR ROM WL[3] Polysilicon Diffusion Metal1 on Diffusion 22 11

12 MOS NAND ROM Διάταξη Cell (5l x 6l) Προγραμματισμός μέσω εμφύτευσης που ρίχνει το Vt Polysilicon Threshold-altering implant Metal1 on Diffusion 23 Ισοδύναμο μοντέλο για NOR ROM WL r word C bit c word Παρασιτικές στο WL Χωρητικότητες συνδέσεων και πυλών Αντίσταση πολυπυρητίου Παρασιτικές στο Αντίσταση αμελητέα Χωρητικότητες Drain και Gate-Drain 24 12

13 Ισοδύναμο μοντέλο για NAND ROM r bit C L WL r word c bit c word Παρασιτικές στο WL Χωρητικότητες συνδέσεων και πυλών Αντίσταση πολυπυρητίου Παρασιτικές στο κυριαρχεί η αντίσταση των εν σειρά τρανζίστορ χωρητικότητες Gate-Source, Gate-Drain σε κάθε τρανζίστορ 25 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 26 13

14 Μείωση Καθυστέρησης WL WL Driver Polysilicon word line Metal word line (a) Driving the word line from both sides Metal bypass WL K cells Polysilicon word line (b) Using a metal bypass (c) Use silicides 27 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 28 14

15 MOS NOR με προφόρτιση f pre PMOS Προφόρτισης WL[0] WL[1] GND WL[2] GND WL[3] [0] [1] [2] [3] Τα μεγέθη των PMOS μπορούν να είναι όσο μεγάλα απαιτείται Απαιτείται μεγάλη οδηγητική ικανότητα στο ρολόι 29 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 30 15

16 Σταθερές Μνήμες Floating-gate τρανζίστορ Source Floating gate Gate Drain D t ox G n + Substrate p t ox n +_ S Device cross-section Schematic symbol 31 Προγραμματισμός Floating-gate τρανζίστορ 20 V 0 V 5 V 10 V 5 V 20 V - 5 V 0 V V 5 V S D S D S D Avalanche injection Removing programming voltage leaves charge trapped Programming results in higher V T

17 Χαρακτηριστικά Floating-gate 33 FLOTOX EEPROM Floating gate Source Gate Drain I nm n 1 Substrate p n 1 10 nm -10 V 10 V V GD FLOTOX transistor Fowler-Nordheim I-V characteristic 34 17

18 Κύτταρο EEPROM WL Absolute threshold control is hard Unprogrammed transistor might be depletion 2 transistor cell 35 FLASH Τρανζίστορ - Μνήμη Πύλη Ελέγχου Επιπλέουσα Πύλη διαγραφή n + source προγραμματισμός p-substrate Λεπτό οξύ φαινόμενο τούνελ n + drain Πολλές διαφορετικές εκδοχές 36 18

19 Βασικές Λειτουργίες FLASH - Σβήσιμο 37 Βασικές Λειτουργίες FLASH - Εγγραφή 38 19

20 Βασικές Λειτουργίες FLASH Ανάγνωση 39 NAND FLASH Μνήμη Word line(poly) Unit Cell Gate ONO Gate Oxide FG Source line (Diff. Layer) 40 20

21 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ MOS NAND ROM Αισθητήρας Ενισχυτής Μνήμης Ισοδύναμα μοντέλα Μείωση Καθυστέρησης WL 41 RAM Στατική Δεδομένα αποθηκευμένα στατικά για όσο είναι το κύκλωμα συνδεδεμένο στην πηγή Μεγάλο μέγεθος κυττάρων (6 τρανζίστορ) Γρήγορη ταχύτητα Διαφορικές έξοδοι Δυναμική Περιοδική ανανέωση των αποθηκευμένων δεδομένων απαιτείται Μικρό μέγεθος κυττάρων (1-3 τρανζίστορ) Πιο αργά από τα στατικά Μονή έξοδος 42 21

22 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών Χρονισμός Μνήμης Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα Μείωση Καθυστέρησης WL MOS NOR με προφόρτιση Σταθερές Μνήμες RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης 43 SRAM Κύτταρο 6 Τρανζίστορ WL M 2 M 4 Q M Q M 5 6 M 1 M

23 Voltage Rise (V) 1/2/2015 SRAM Κύτταρο 6 Τρανζίστορ - Ανάγνωση WL M 4 Q = 0 M 5 Q = 1 M 6 M 1 C bit C bit Τα, προφορτίζονται στο Vdd Κατά την ανάγνωση δεν πρέπει να αλλάξουν τα δεδομένα του κυττάρου Το δυναμικό στο Q (μεταξύ Μ5, Μ1) δεν πρέπει να ανέβει και να επηρεάσει τον αντιστροφέα Μ3/Μ4 Πρέπει R(M5) > R(M1) (διαιρετής τάσης) ή CR=W1/W5 > ~ SRAM Κύτταρο 6 Τρανζίστορ - Ανάγνωση Cell Ratio (CR)

24 SRAM Κύτταρο 6 Τρανζίστορ - Εγγραφή WL M 4 Q = 0 M 6 M 5 Q = 1 M 1 = 1 = 0 Κατά την εγγραφή πρέπει να επιβληθεί η τιμή του Το δυναμικό στο Q (μεταξύ Μ4 και Μ6) πρέπει να πέσει χαμηλά για να αλλάξει την κατάσταση του αντιστροφέα Μ1/Μ2 Πρέπει R(M6) < R(M4) (διαιρετής τάσης) ή PR = W4/W6 < ~ SRAM Κύτταρο 6 Τρανζίστορ - Εγγραφή 48 24

25 Κύτταρο SRAM 6-Τρανζίστορ M2 M4 Q Q M1 M3 M5 M6 GND WL 49 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 50 25

26 Κύτταρο DRAM 3-Τρανζίστορ 1 2 WWL RWL WWL M 3 RWL M 1 X M 2 X - V T C S V T DV Η ανάγνωση δεν επηρεάζει την αποθηκευμένη τιμή Η τιμή που αποθηκεύεται για «1» είναι Vdd-Vt 51 Κύτταρο DRAM 3-Τρανζίστορ 2 1 GND RWL M3 M2 WWL M

27 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών Χρονισμός Μνήμης Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα Μείωση Καθυστέρησης WL MOS NOR με προφόρτιση Σταθερές Μνήμες RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης 53 Κύτταρο DRAM 1-Τρανζίστορ CS V V VPRE ( VBIT VPRE ) C C Ο πυκνωτής φορτίζεται ή εκφορτίζεται στο μέσω του WL Στην ανάγνωση το φορτίο Cs μοιράζεται στο Cs, C Η άνοδος/πτώση του δυναμικού είναι μικρή, ~250mV S 54 27

28 Κύτταρο DRAM 1-Τρανζίστορ Απαιτεί αισθητήρα ενισχυτή για την διάγνωση της μεταφοράς του φορτίου Μονή έξοδος, αντί της διαφορικής στην SRAM Η ανάγνωση καταστρέφει την αποθηκευμένη τιμή Απαιτείται ανάγνωση και ανανέωση Το κύτταρο 1-τρανζίστορ απαιτεί πρόσθεση χωρητικότητας κατάλληλου μεγέθους Η εγγραφή του λογικού-1 στο κύτταρο DRAM υποφέρει από πτώση τάσης Vt Το χάσιμο φορτίου (δυναμικού) μπορεί να προσπεραστεί οδηγώντας τα WL σε δυναμικό μεγαλύτερο του Vdd 55 Κύτταρο DRAM 1-Τρανζίστορ Capacitor Metal word line Διατομή Poly n + n + Inversion layer Poly induced by plate bias SiO 2 Field Oxide Diffused bit line Polysilicon gate Διάταξη Polysilicon plate M 1 word line Χρησιμοποιεί χωρητικότητα poly-si, διάχυσης 56 28

29 Κύτταρο DRAM 1-Τρανζίστορ 57 Περιεχόμενα Είδη Ολοκληρωμένων Μνημών MOS NOR με προφόρτιση Χρονισμός Μνήμης Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης Αποκωδικοποιητές Δομή κατά ύψος, πλάτος Ιεραρχική Μνήμη Μνήμη CAM Κύτταρα Μόνο-Ανάγνωσης (ROM) Εκδοχές ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ισοδύναμα μοντέλα RAM Τρανζίστορ Επιπλέουσας Πύλης (Floating Gate) EEPROM Τρανζίστορ FLASH SRAM Κύτταρο 6 τρανζίστορ Ανάγνωση, Εγγραφή, Διάταξη DRAM Κύτταρο 3 τρανζίστορ DRAM Κύτταρο 1 τρανζίστορ Αισθητήρας Ενισχυτής Μνήμης Μείωση Καθυστέρησης WL 58 29

30 Λειτουργία Αισθητήρα Ενισχυτή V V (1) V PRE DV(1) V(0) Ενεργοποίηση Ενισχυτή Αισθητήρα Ενεργοποίηση Word line t 59 Διαφορικός Αισθητήρας Ενισχυτής M 3 M 4 y Out bit M 1 M 2 bit SE M

Κεφάλαιο 12 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Μνήμες 2

Κεφάλαιο 12 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Μνήμες 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Μνήμες Κεφάλαιο 1 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Οργάνωση και αρχιτεκτονική μνημών. Μνήμες 3. Μνήμες AM 4. Μνήμες

Διαβάστε περισσότερα

HY422 Ειςαγωγή ςτα Συςτήματα VLSI. 5/23/ ΗΥ422 - Διάλεξθ 12θ Μνιμεσ. Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ RAM

HY422 Ειςαγωγή ςτα Συςτήματα VLSI.  5/23/ ΗΥ422 - Διάλεξθ 12θ Μνιμεσ. Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ RAM HY422 Ειςαγωγή ςτα Συςτήματα VLSI Διδάςκων: Χ. Σωτηρίου, Βοηθόσ: Π. Ματτθαιάκησ http://www.csd.uoc.gr/~hy422 1 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ

Διαβάστε περισσότερα

ΜΟΝΑΔΕΣ ΜΝΗΜΗΣ. Μονάδες Μνήμης 1. Ε. Κυριάκης Μπιτζάρος ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΩΝ ΜΗΧΑΝΙΚΩΝ

ΜΟΝΑΔΕΣ ΜΝΗΜΗΣ. Μονάδες Μνήμης 1. Ε. Κυριάκης Μπιτζάρος ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΩΝ ΜΗΧΑΝΙΚΩΝ ΜΟΝΑΔΕΣ ΜΝΗΜΗΣ Μονάδες Μνήμης 1 Ταξινόμηση Μνημών Volatile Read-Write Memory Random Non-Random Access Access Μονάδες Μνήμης 2 Non-Volatile Read-Only Memory Read-Write Memory EPROM E2PROM FLASH FRAM SRAM

Διαβάστε περισσότερα

.Λιούπης. Ψηφιακά Ηλεκτρονικά - Ηµιαγωγικές Μνήµες 1

.Λιούπης. Ψηφιακά Ηλεκτρονικά - Ηµιαγωγικές Μνήµες 1 Ψηφιακά Ηλεκτρονικά Ηµιαγωγικές µνήµες.λιούπης Ψηφιακά Ηλεκτρονικά - Ηµιαγωγικές Μνήµες 1 Τυπική εσωτερική οργάνωση µνήµης γραµµές λέξης wordlines () κύκλωµα προφόρτισης (pre-charge circuit) γραµµές ψηφίου

Διαβάστε περισσότερα

Μελλοντικές Κατευθύνσεις

Μελλοντικές Κατευθύνσεις Ψηφιακά Ηλεκτρονικά Μελλοντικές Κατευθύνσεις.Λιούπης Ψηφιακά Ηλεκτρονικά Μελλοντικές Κατευθύνσεις 1 Ψηφιακά Ηλεκτρονικά Μελλοντικές Κατευθύνσεις 2 Σύγχρονα Τρανζίστορ Αύξηση της απόδοσης Μίγµα silicon

Διαβάστε περισσότερα

Μνήμη και Προγραμματίσιμη Λογική

Μνήμη και Προγραμματίσιμη Λογική Μνήμη και Προγραμματίσιμη Λογική Η μονάδα μνήμης είναι ένα στοιχείο κυκλώματος στο οποίο μεταφέρονται ψηφιακές πληροφορίες προς αποθήκευση και από το οποίο μπορούμε να εξάγουμε αποθηκευμένες πληροφορίες

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 5: Μνήμες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ. ΚΕΦΑΛΑΙΟ 4ο ΜΝΗΜΕΣ. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ. ΚΕΦΑΛΑΙΟ 4ο ΜΝΗΜΕΣ. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17 ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΕΦΑΛΑΙΟ 4ο ΜΝΗΜΕΣ Μνήμες (Memory) - Είναι ημιαγώγιμα κυκλώματα που μπορούν να αποθηκεύσουν ένα σύνολο από δυαδικά ψηφία (bit). - Μια μνήμη αποθηκεύει λέξεις (σειρές από bit). - Σε κάθε

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Γ. Θεοδωρίδης VLSI ΙI 2012-2013 1 Κεφάλαιο 12 Υποσυστήματα Διατάξεων VLSI ΙI 2012-2013 2 Περίγραμμα Εισαγωγή Στατική μνήμη (SRAM) Δυναμική μνήμη (DRAM) Μνήμη

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 11:

Ψηφιακή Σχεδίαση Ενότητα 11: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 11: Μνήμη και Προγραμματίσιμη Λογική Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Αποκωδικοποιητές Μνημών

Αποκωδικοποιητές Μνημών Αποκωδικοποιητές Μνημών Φθινόπωρο 2008 Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Γ. Δημητρακόπουλος ΗΥ422 1 Η χρήση των αποκωδικοποιητών Η δομή της μνήμης (για λόγους πυκνότητας)

Διαβάστε περισσότερα

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΒΑΣΙΚΑ ΣΤΟΙΧΕΙΑ ΗΜΙΑΓΩΓΙΚΩΝ ΜΝΗΜΩΝ. ΒΑΣΙΚΗ ΛΕΙΤΟΥΡΓΙΑ RAM CMOS. ΤΥΠΟΙ ΚΥΤΤΑΡΩΝ ΑΡΧΕΣ

Διαβάστε περισσότερα

Συστήματα Μικροϋπολογιστών

Συστήματα Μικροϋπολογιστών Συστήματα Μικροϋπολογιστών Συστήματα Μνημών Υπεύθυνος Μαθήματος: K. ΠΕΚΜΕΣΤΖΗ Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες,

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008 Τεχνολογία Ι Θεωρητικής Κατεύθυνσης Τεχνικών Σχολών Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 5 : Η Εσωτερική Μνήμη Καρβούνης Ευάγγελος Τρίτη, 01/12/2015 Οι τύποι μνήμης με ημιαγωγούς 2 2 Η λειτουργία

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Γ. Θεοδωρίδης VLSI ΙI 2010-2011 1 Κεφάλαιο 12 Υποσυστήματα Διατάξεων VLSI ΙI 2010-2011 2 Περίγραμμα Εισαγωγή Στατική μνήμη (SRAM) Δυναμική μνήμη (DRAM) Μνήμη

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Μονάδες Μνήμης και Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Μονάδες Μνήμης - Προγραμματιζόμενη Λογική Μια μονάδα μνήμης είναι ένα

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν

Διαβάστε περισσότερα

Σχεδίαση στατικών μνημών RAM

Σχεδίαση στατικών μνημών RAM Σχεδίαση στατικών μνημών RAM Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Φθινόπωρο 2008 ΗΥ422 1 Περιεχόμενα μαθήματος Οργάνωση μνημών τυχαίας προσπέλασης (Random Access Memories

Διαβάστε περισσότερα

Μνήμες RAM. Διάλεξη 12

Μνήμες RAM. Διάλεξη 12 Μνήμες RAM Διάλεξη 12 Δομή της διάλεξης Εισαγωγή Κύτταρα Στατικής Μνήμης Κύτταρα Δυναμικής Μνήμης Αισθητήριοι Ενισχυτές Αποκωδικοποιητές Διευθύνσεων Ασκήσεις 2 Μνήμες RAM Εισαγωγή 3 Μνήμες RAM RAM: μνήμη

Διαβάστε περισσότερα

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2017

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2017 ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2017 ΔΙΑΛΕΞΗ 17: Κυκλώματα & Συστήματα Μνήμης ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ (ttheocharides@ucy.ac.cy) (ack: Prof. Mary Jane Irwin and Vijay Narayanan) [Προσαρμογή

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI HY422 Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθός: Π. Ματτθαιάκης http://www.csd.uoc.gr/~hy422 HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 2: Το Τρανζίστορ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης

Διαβάστε περισσότερα

Καθυστέρηση στατικών πυλών CMOS

Καθυστέρηση στατικών πυλών CMOS Καθυστέρηση στατικών πυλών CMOS Πρόχειρες σημειώσεις Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Άνοιξη 2008 Παρόλο που οι εξισώσεις των ρευμάτων των MOS τρανζίστορ μας δίνουν

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης i Στα σύγχρονα

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 5: Το CMOS transistor και κυκλώµατα CMOS ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Κυκλώµατα

Διαβάστε περισσότερα

Τεχνολογίες Κύριας Μνήμης

Τεχνολογίες Κύριας Μνήμης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κύρια Μνήμη

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 Μάθημα : Μικροϋπολογιστές Τεχνολογία Τ.Σ. Ι, Θεωρητικής κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Φυσική σχεδίαση ολοκληρωμένων κυκλωμάτων

Φυσική σχεδίαση ολοκληρωμένων κυκλωμάτων Φυσική σχεδίαση ολοκληρωμένων κυκλωμάτων Βασικές έννοιες και τεχνικές Γιώργος Δημητρακόπουλος Δημοκριτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 Τι χρειαζόμαστε για να φτιάξουμε

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 2 η :

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 5 : Η Εσωτερική Μνήμη Φώτης Βαρζιώτης 2 Ανοιχτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Ηπείρου Τμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

, PAL PA, ΜΝΗΜΕΣ ROM)

, PAL PA, ΜΝΗΜΕΣ ROM) 10 Εό Ενότητα ΔΙΑΤΑΞΕΙΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗΣ ΛΟΓΙΚΗΣ (PLA, PAL, ΜΝΗΜΕΣ ROM) Γενικές Γραμμές PLA PAL Μνήμες ROM Βλέπε: Βιβλίο Wakerly Παράγραφοι 5.3.1, 5.3.2, 10.1, 10.1.1, 10.1.4 Βιβλίο Mano Παράγραφοι 7.5,

Διαβάστε περισσότερα

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο,

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, 2016-2017 ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΕΣ Μικροϋπολογιστής Υπολογιστής που χρησιμοποιείται για την είσοδο, επεξεργασία και έξοδο πληροφοριών. Είδη μικροϋπολογιστών:

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 4.1: Μέθοδοι Υλοποίησης Ολοκληρωμένων Κυκλωμάτων Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

Εισαγωγή στην επιστήμη των υπολογιστών. Υλικό Υπολογιστών Κεφάλαιο 5ο Οργάνωση υπολογιστών

Εισαγωγή στην επιστήμη των υπολογιστών. Υλικό Υπολογιστών Κεφάλαιο 5ο Οργάνωση υπολογιστών Εισαγωγή στην επιστήμη των υπολογιστών Υλικό Υπολογιστών Κεφάλαιο 5ο Οργάνωση υπολογιστών 1 Οργάνωση υπολογιστών ΚΜΕ Κύρια Μνήμη Υποσύστημα εισόδου/εξόδου 2 Κεντρική Μονάδα Επεξεργασίας (ΚΜΕ) R1 R2 ΑΛΜ

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

Δομή Ηλεκτρονικού υπολογιστή

Δομή Ηλεκτρονικού υπολογιστή Δομή Ηλεκτρονικού υπολογιστή Η κλασσική δομή του μοντέλου που πρότεινε το 1948 ο Von Neumann Κεντρική Μονάδα Επεξεργασίας Είσοδος Αποθήκη Αποθήκη - Έξοδος Εντολών Δεδομένων Κλασσικό μοντέλο Von Neumann

Διαβάστε περισσότερα

ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ

ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ Πλατφόρμες ενσωματωμένων συστημάτων Διδάσκων: Παναγιώτης Καρκαζής Περίγραμμα - Δίαυλοι επικοινωνίας - Μνήμες -Συσκευές Ι/Ο Timers Counters keyboards Leds 7 segment display

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) Διεργασίες Μικροηλεκτρονικής Τεχνολογίας, Οξείδωση, Διάχυση, Φωτολιθογραφία, Επιμετάλλωση, Εμφύτευση, Περιγραφή CMOS

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΑΠΑΝΤΗΣΕΙΣ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΑΠΑΝΤΗΣΕΙΣ ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Μικροϋπολογιστές Τεχνολογία Τ.Σ. Ι, Θεωρητικής κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 201 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. 1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα HY330 Ψηφιακά - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 Μανταλωτές θετικής, αρνητικής πολικότητας Σχεδίαση με Μανταλωτές

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Οδυσσέας Κουφοπαύλου, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας

Διαβάστε περισσότερα

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας 2 η διάλεξη 25 Σεπτεμβρίου Πραγματικά τρανζίστορ Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Η τάση στο gate του τρανζίστορ

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 5: Αντιστροφέας CMOS Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Προγραµµατιζόµενες

Διαβάστε περισσότερα

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ Γιώργος Δημητρίου Μάθημα 8 ο ΠΜΣ Εφαρμοσμένη Πληροφορική ΜΟΝΑΔΑ ΜΝΗΜΗΣ Επαρκής χωρητικότητα αποθήκευσης Αποδεκτό μέσο επίπεδο απόδοσης Χαμηλό μέσο κόστος ανά ψηφίο Ιεραρχία μνήμης

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΝΗΜΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗ ΛΟΓΙΚΗ ΥΠΕΥΘΥΝΟΣ ΕΡΓΑΣΤΗΡΙΩΝ: ΧΡΥΣΟΣΤΟΜΟΣ ΧΡΥΣΟΣΤΟΜΟΥ ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2001 ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2017-2018 Δυναµικές Μνήµες - DRAM ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Βασικό Block Diagram Υποσυστηµάτων Μνήµης Word Line Address

Διαβάστε περισσότερα

Χρ. Καβουσιανός Επίκουρος Καθηγητής

Χρ. Καβουσιανός Επίκουρος Καθηγητής Σχεδίαση Μνηµών Χρ. Καβουσιανός Επίκουρος Καθηγητής Εισαγωγή Η Μνήµη είναι ένας πίνακας από θέσεις αποθήκευσης συγκεκριµένου µεγέθους, κάθε µία από τις οποίες έχει µία διακριτή διεύθυνση Θέση 0 Θέση 1

Διαβάστε περισσότερα

Τμήμα Οικιακής Οικονομίας και Οικολογίας. Οργάνωση Υπολογιστών

Τμήμα Οικιακής Οικονομίας και Οικολογίας. Οργάνωση Υπολογιστών Οργάνωση Υπολογιστών Οργάνωση υπολογιστών ΚΜΕ Κύρια Μνήμη Υποσύστημα εισόδου/εξόδου Κεντρική Μονάδα Επεξεργασίας (ΚΜΕ) R1 R2 ΑΛΜ R3 I Καταχωρητές PC Κεντρική Μονάδα Επεξεργασίας Αριθμητική και λογική μονάδα

Διαβάστε περισσότερα

Τεχνολογία μνημών Ημιαγωγικές μνήμες Μνήμες που προσπελαύνονται με διευθύνσεις:

Τεχνολογία μνημών Ημιαγωγικές μνήμες Μνήμες που προσπελαύνονται με διευθύνσεις: Σύστημα μνήμης Ο κύριος σκοπός στο σχεδιασμό ενός συστήματος μνήμης είναι να προσφέρουμε επαρκή χωρητικότητα αποθήκευσης διατηρώντας ένα αποδεκτό επίπεδο μέσης απόδοσης και επίσης χαμηλό μέσο κόστος ανά

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/e330 1 Περιεχόμενα Διαισθητική λειτουργία Χαρακτηριστικά Αντιστροφέα

Διαβάστε περισσότερα

ΕΙ Η ΜΝΗΜΩΝ ΠΤΥΤΙΚΕΣ ΜΗ ΠΤΥΤΙΚΕΣ

ΕΙ Η ΜΝΗΜΩΝ ΠΤΥΤΙΚΕΣ ΜΗ ΠΤΥΤΙΚΕΣ ΜΝΗΜΕΣ ΕΙ Η ΜΝΗΜΩΝ ΠΤΥΤΙΚΕΣ ΜΗ ΠΤΥΤΙΚΕΣ 2 ΠΤΥΤΙΚΕΣ vs ΜΗ ΠΤΥΤΙΚΕΣ Πτητική είναι η µνήµη η οποία χάνει το περιεχόµενο της µε το σβήσιµο του ηλεκτρονικού υπολογιστή (διακοπή τροφοδοσίας), ενώ µη πτητική

Διαβάστε περισσότερα

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Συνδυαστική Λογική Κεφάλαιο 9 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Στατική CMOS λογική και λογική 2. Διαφορική λογική 3.

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών (ΙI)

Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2016-17 Οργάνωση Υπολογιστών (ΙI) (κύρια και κρυφή μνήμη) http://mixstef.github.io/courses/csintro/ Μ.Στεφανιδάκης Ένα τυπικό

Διαβάστε περισσότερα

ιεύθυνση Λέξης Ερµηνεία Περιεχοµένου Λέξης ιεύθυνση Λέξης b7 b6 b5 b4 b3 b2 b1 b0

ιεύθυνση Λέξης Ερµηνεία Περιεχοµένου Λέξης ιεύθυνση Λέξης b7 b6 b5 b4 b3 b2 b1 b0 Μάθηµα 43ο Θέµα Εισαγωγή Παραδείγµατα 1. Τι ονοµάζουµε µνήµη; Τι είδους τεχνολογία χρησιµοποιούµε συνήθως για τις µνήµες; 2. Η λέξη µιας µνήµης, από τι αποτελείται; 3. Τι ονοµάζουµε διεύθυνση µιας µνήµης

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.3: Συνδυαστική Λογική - Δυναμικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Προγραμματιζόμενη Λογική Γιατί;

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Προγραμματιζόμενη Λογική Γιατί; ΗΜΥ 20: Σχεδιασμός Ψηφιακών Συστημάτων Αυγ- ΗΜΥ-20: Σχεδιασμός Ψηφιακών Συστημάτων Συνδυαστικές Λογικές ιατάξεις Διδάσκουσα: Μαρία Κ. Μιχαήλ Περίληψη Λογικές ιατάξεις (Programmable Logic Devices PLDs)

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 Διαδικασία CMOS 2 1 Μια σύγχρονη διαδικασία CMOS gate-oxide

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα

Διαβάστε περισσότερα

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική Επιµέλεια διαφανειών: Χρ. Καβουσιανός Μνήµη Η µνήµη καταλαµβάνει το µεγαλύτερο µέρος ενός υπολογιστικού συστήµατος Δύο τύποι: ROM - RAM RΟΜs CPU

Διαβάστε περισσότερα

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Μάθημα 4.5 Η Μνήμη - Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Όταν ολοκληρώσεις το μάθημα αυτό θα μπορείς: Να αναφέρεις τα κυριότερα είδη μνήμης

Διαβάστε περισσότερα

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy)

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) 1 Συστήματα Μνήμης Η οργάνωση του συστήματος μνήμης επηρεάζει τη λειτουργία και απόδοση ενός μικροεπεξεργαστή: Διαχείριση μνήμης και περιφερειακών (Ι/Ο) απότολειτουργικόσύστημα

Διαβάστε περισσότερα

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI)

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 015-16 Οργάνωση Υπολογιστών (ΙI) (κύρια και ) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Ένα τυπικό υπολογιστικό

Διαβάστε περισσότερα

Βασικές CMOS Λογικές οικογένειες (CMOS και Domino)

Βασικές CMOS Λογικές οικογένειες (CMOS και Domino) Βασικές CMOS Λογικές οικογένειες (CMOS και Domino) CMOS Κάθε λογική πύλη αποτελείται από δύο τμήματα p-mos δικτύωμα, τοποθετείται μεταξύ τροφοδοσίας και εξόδου. Όταν είναι ενεργό φορτίζει την έξοδο στην

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 5 η :

Διαβάστε περισσότερα

ΤΟΠΟΛΟΓΙΕΣ ΣΥΣΤΟΙΧΙΑΣ ΔΙΑΛΕΞΗ 5

ΤΟΠΟΛΟΓΙΕΣ ΣΥΣΤΟΙΧΙΑΣ ΔΙΑΛΕΞΗ 5 ΤΟΠΟΛΟΓΙΕΣ ΣΥΣΤΟΙΧΙΑΣ ΔΙΑΛΕΞΗ 5 Cascode Κυκλώματα (1/2) Χρησιμοποιούμε ένα κοινήςπύλης/βάσης τρανζίστορ για να: Βελτιώσουμε την αντίσταση εξόδου ενός άλλου τρανζίστορ. V drain Μειώσουμε το φαινόμενο Gate-to-

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 3: Εισαγωγή στη Διαδικασία Κατασκευής (CMOS Processing) Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Εκτέλεση πράξεων

Διαβάστε περισσότερα

Εισαγωγή στις κρυσταλλολυχνίες (Transistors)

Εισαγωγή στις κρυσταλλολυχνίες (Transistors) Εισαγωγή στις κρυσταλλολυχνίες (Transistors) Dr. Petros Panayi Διακόπτες Ένας διακόπτης είναι μια συσκευή που αλλάζει τη ροή ενός κυκλώματος. Το πρότυπο είναι μια μηχανική συσκευή (παραδείγματος χάριν

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.1: Συνδυαστική Λογική - Βασικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://www.csd.uoc.gr/~hy330 1 Περιεχόμενα Συσκευές στο Πυρίτιο Πυρίτιο n και p Δίοδος Θετική, αρνητική

Διαβάστε περισσότερα

Εισαγωγή στην επιστήµη των υπολογιστών. Υλικό Υπολογιστών Κεφάλαιο 5ο Οργάνωση υπολογιστών

Εισαγωγή στην επιστήµη των υπολογιστών. Υλικό Υπολογιστών Κεφάλαιο 5ο Οργάνωση υπολογιστών Εισαγωγή στην επιστήµη των υπολογιστών Υλικό Υπολογιστών Κεφάλαιο 5ο Οργάνωση υπολογιστών Εισαγωγή Θα δούµε την οργάνωση ενός υπολογιστή Στον επόµενο µάθηµα θα δούµε πως συνδέονται πολλοί Η/Υ για να σχηµατίσουν

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2013-2014 Στατικές Μνήμες - SRAM 1 Περίληψη Μνήμη είναι μια συλλογή από κελιά αποθήκευσης μαζί με κατάλληλα κυκλώματα για είσοδο και έξοδο από και

Διαβάστε περισσότερα

Εφαρµογές Πληροφορικής Υπολογιστών. Κεφάλαιο 3 Το υλικό του υπολογιστή

Εφαρµογές Πληροφορικής Υπολογιστών. Κεφάλαιο 3 Το υλικό του υπολογιστή Κεφάλαιο 3 Το υλικό του υπολογιστή Εισαγωγή Τµήµατα του Η/Υ καιοργάνωση Μονάδα Κεντρικής Μνήµης Κεντρική Μονάδα Επεξεργασίας (CPU) Μονάδα Εισόδου Εξόδου ίαυλοι Επικοινωνίας Εναλλακτικές αρχιτεκτονικές

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.2: Συνδυαστική Λογική - Σύνθετες Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Μάθηµα 5ο.. Λιούπης

Ψηφιακά Ηλεκτρονικά. Μάθηµα 5ο.. Λιούπης Ψηφιακά Ηλεκτρονικά Μάθηµα 5ο. Λιούπης Τεχνολογία CMOS Υλοποιεί την πλειοψηφία των µοντέρνων ψηφιακών κυκλωµάτων λογικές πύλες µνήµες επεξεργαστές άλλα σύνθετα κυκλώµατα Συνδυάζει συµπληρωµατικά pmos και

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 4 η :

Διαβάστε περισσότερα

(Ο Ηλεκτρονικός Διακόπτης)

(Ο Ηλεκτρονικός Διακόπτης) (Ο Ηλεκτρονικός Διακόπτης) The MOS Transistor Polysilicon Aluminum N MOS Τρανζίστορ ρ Διάταξη τριών ακροδεκτών Πηγή (Source) Καταβόθρα (Drain) Πύλη (Gate) Κατασκευαστικά η Πηγή και η Καταβόθρα είναι όμοιες

Διαβάστε περισσότερα

Ηλεκτρονικοί Υπολογιστές Δ Εξάμηνο

Ηλεκτρονικοί Υπολογιστές Δ Εξάμηνο AEN ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΠΛΟΙΑΡΧΩΝ Ηλεκτρονικοί Υπολογιστές Δ Εξάμηνο ΘΕΩΡΙΑ 3 η Διάλεξη ΧΑΣΑΝΗΣ ΒΑΣΙΛΕΙΟΣ ΥΠΟΛΟΓΙΣΤΙΚΟ ΣΥΣΤΗΜΑ Υπολογιστικό σύστημα: Ένα δυναμικό σύστημα που: Χρησιμοποιείται για επίλυση προβλημάτων

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2017-2018 Στατικές Μνήµες - ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Περίληψη Μνήµη είναι µια συλλογή από κελιά αποθήκευσης µαζί µε

Διαβάστε περισσότερα

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 5 ο Οργάνωση Υπολογιστών. Δρ.

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 5 ο Οργάνωση Υπολογιστών. Δρ. Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Πληροφορική Ι Μάθημα 5 ο Οργάνωση Υπολογιστών Δρ. Γκόγκος Χρήστος Υποσυστήματα αυτόνομου υπολογιστή Κεντρική Μονάδα Επεξεργασίας (CPU)

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Δυναμικές Μνήμες DRAM Χειμερινό Εξάμηνο 2009 2010 Βασικό Block Diagram Υποσυστημάτων Μνήμης Word Line Address Decoder Memory cell 2 n word lines n Address Bits RAM/ROM

Διαβάστε περισσότερα

Εισαγωγή στα κυκλώµατα CMOS 2

Εισαγωγή στα κυκλώµατα CMOS 2 1 η Θεµατική Ενότητα : Εισαγωγή στα κυκλώµατα CMOS Επιµέλεια διαφανειών:. Μπακάλης Εισαγωγή Τεχνολογία CMOS = Complementary Metal Oxide Semiconductor Συµπληρωµατικού Ηµιαγωγού Μετάλλου Οξειδίου Αποτελείται

Διαβάστε περισσότερα

Με τον όρο μνήμη αναφερόμαστε στα μέσα που χρησιμοποιούνται για την αποθήκευση προγραμμάτων και δεδομένων σε έναν υπολογιστή ή άλλη ψηφιακή

Με τον όρο μνήμη αναφερόμαστε στα μέσα που χρησιμοποιούνται για την αποθήκευση προγραμμάτων και δεδομένων σε έναν υπολογιστή ή άλλη ψηφιακή Μνήμη Με τον όρο μνήμη αναφερόμαστε στα μέσα που χρησιμοποιούνται για την αποθήκευση προγραμμάτων και δεδομένων σε έναν υπολογιστή ή άλλη ψηφιακή ηλεκτρονική συσκευή, σε προσωρινή ή μόνιμη βάση. Τα σύγχρονα

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα

ΘΕΜΑ : ΗΛΕΚΤΡΟΝΙΚΗ ΜΝΗΜΗ ΚΑΙ ΜΙΚΡΟΕΛΕΓΚΤΕΣ. ΔΙΑΡΚΕΙΑ: 1 περίοδος

ΘΕΜΑ : ΗΛΕΚΤΡΟΝΙΚΗ ΜΝΗΜΗ ΚΑΙ ΜΙΚΡΟΕΛΕΓΚΤΕΣ. ΔΙΑΡΚΕΙΑ: 1 περίοδος ΘΕΜΑ : ΗΛΕΚΤΡΟΝΙΚΗ ΜΝΗΜΗ ΚΑΙ ΜΙΚΡΟΕΛΕΓΚΤΕΣ ΔΙΑΡΚΕΙΑ: 1 περίοδος Σε αυτό το μάθημα θα μάθετε να: 1. Αναφέρετε τα διάφορα είδη μνήμης και συσκευές που τις περιέχουν. 2. Περιγράφετε τα σημαντικά χαρακτηριστικά

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2006-2007 Στατικές Μνήµες -SRAM ΗΥ220 - Βασίλης Παπαευσταθίου 1 Περίληψη Μνήµη είναι µια συλλογή από κελιά αποθήκευσης µαζί µε κατάλληλα κυκλώµατα

Διαβάστε περισσότερα

Αναλυτικά Περιεχόμενα

Αναλυτικά Περιεχόμενα Αναλυτικά Περιεχόμενα Αντί προλόγου... 15 Συντομογραφίες... 33 Κεφάλαιο 1: Εισαγωγή... 35 1.1 Εισαγωγή...39 1.2 Επίπεδα ιεραρχίας του υλικού...40 1.3 Βασικά συστατικά ενός μικροϋπολογιστικού συστήματος...42

Διαβάστε περισσότερα