HY422 Ειςαγωγή ςτα Συςτήματα VLSI. 5/23/ ΗΥ422 - Διάλεξθ 12θ Μνιμεσ. Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ RAM

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "HY422 Ειςαγωγή ςτα Συςτήματα VLSI. 5/23/ ΗΥ422 - Διάλεξθ 12θ Μνιμεσ. Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ RAM"

Transcript

1 HY422 Ειςαγωγή ςτα Συςτήματα VLSI Διδάςκων: Χ. Σωτηρίου, Βοηθόσ: Π. Ματτθαιάκησ 1 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 2 1

2 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 3 Είδη Ολοκληρωμένων Μνημών Μνήμη Ανάγνωςησ και Εγγραφήσ Random Access Τυχαίασ (Αυθαίρετησ) Πρόςβαςησ Non-Random Access Μη Τυχαίασ (μη αυθαίρετησ) πρόςβαςησ Μη-Προςωρινέσ Μνήμεσ EPROM (Electrically Programmable ROM) E 2 PROM FLASH Μνήμη Μόνο Ανάγνωςησ PROM (Programmable ROM) SRAM (Στατικι RAM) DRAM (Δυναμικι RAM) FIFO (First-In,First- Out) LIFO (Last-In, First- Out) Καταχωρθτισ Ολίςκθςθσ CAM (Content- Addressable Memory προςβάςιμθ βάςθ περιεχομζνων 4 2

3 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 5 Χρονιςμόσ Μνήμησ - Οριςμοί 6 3

4 Decode r 23/5/2011 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ MOS NAND ROM Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 7 Αρχιτεκτονική Μνήμησ Αποκωδικοποιητέσ M bits M bits S 0 Word 0 S 0 Word 0 words S 1 S 2 Word 1 Word 2 Storage cell A 0 A 1 Word 1 Word 2 Storage cell N S N-2 Word N2 2 A K-1 Word N2 2 S N-1 Word N2 1 Word N2 1 K = log 2 N Input-Output (M bits) Input-Output (M bits) Για πρόςβαςθ Ν λζξεων απαιτοφνται Ν ςιματα Με τθν χριςθ αποκωδικοποιθτι τα μειϊνουμε ςε log 2 N 8 4

5 Δομή και Αρχιτεκτονική Μνήμησ Α Κ Α Κ+1 Α L-1 Ενίζσςζη ζήμαηορ ζηα τηθιακά επίπεδα VDD/VSS Α 0 Α Κ-1 Επιλογή καηάλληληρ λέξηρ 9 Ιεραρχική Οργάνωςη Μνήμησ Καλφτερθ κατανάλωςθ (1 μονάδα ενεργι τθν φορά) Καλφτερθ ταχφτθτα, αν οι μονάδεσ αποκθκεφουν γειτονικζσ διευκφνςεισ 10 5

6 Address Decoder 2 9 Validity Bits Priority Encoder Commands I/O Buffers 23/5/2011 Σχεδιάγραμμα 4Mbit SRAM Clock generator Z-address buffer X-address buffer Predecoder and block selector Bit line load Block 30 Block 31 CS, WE buffer Sub-global Row Decoder I/O buffer Global Row Decoder Transfer gate Column decoder Sense amplifier and write driver x1/x4 controller Sub-global Row Decoder Y-address buffer X-address buffer Local Row Decoder 11 Μνήμη Προςπέλαςησ Βάςη Περιεχομένων (Content-Addressable Memory) Data (64 bits) Comparand Mask Control Logic R/W Address (9 bits) CAM Array 2 9 words 3 64 bits 12 6

7 Χρονιςμόσ Μνημών DRAM Σειπά και Σηήλη SRAM Βάζη Γιεύθςνζηρ 13 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 14 7

8 Κύτταρα Μόνο-Ανάγνωςησ (ROM) 1 WL WL WL 0 WL WL WL GND Diode ROM MOS ROM 1 MOS ROM 2 15 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 16 8

9 MOS OR ROM [0] [1] [2] [3] WL[0] WL[1] WL[2] WL[3] V bias 17 Φοπηία Καθέλκςζηρ MOS NOR ROM Σςζκεςέρ Ανέλκςζηρ WL[0] WL[1] GND WL[2] WL[3] GND [0] [1] [2] [3] 18 9

10 MOS NOR Διάταξη Cell (9.5 x 7 ) WL[0] GND WL[1] Ππογπαμμαηιζμόρ βάζη ηος επιπέδος διάσςζηρ WL[2] GND WL[3] Polysilicon Metal1 Diffusion Metal1 on Diffusion 19 MOS NOR Διάταξη Cell (11 x 7 ) WL[0] GND WL[1] Ππογπαμμαηιζμόρ μέζυ ηυν επαθών WL[2] GND WL[3] Polysilicon Metal1 Diffusion Metal1 on Diffusion 20 10

11 MOS NAND ROM Pull-up devices [0] [1] [2] [3] WL[0] WL[1] WL[2] WL[3] Τα ζήμαηα λέξηρ WL είναι ενεπγά απνηηικά (0 = ενεπγό) 21 MOS NAND ROM Διάταξη [0] [1] [2] [3] Cell (8 x 7 ) WL[0] WL[1] WL[2] Ππογπαμμαηιζμόρ βάζη ηος Μεηάλλος 1 Γεν απαιηούνηαι ενδιάμεζερ επαθέρ Μικπόηεπο μέγεθορ Χαμηλόηεπηρ απόδοζηρ από ηην NOR ROM WL[3] Polysilicon Diffusion Metal1 on Diffusion 22 11

12 MOS NAND ROM Διάταξη Cell (5 x 6 ) Ππογπαμμαηιζμόρ μέζυ εμθύηεςζηρ πος πίσνει ηο Vt Polysilicon Threshold-altering implant Metal1 on Diffusion 23 Ιςοδύναμο μοντέλο για NOR ROM WL r word C bit c word Παραςιτικζσ ςτο WL Χωρθτικότθτεσ ςυνδζςεων και πυλϊν Αντίςταςθ πολυπυρθτίου Παραςιτικζσ ςτο Αντίςταςθ αμελθτζα Χωρθτικότθτεσ Drain και Gate-Drain 24 12

13 Ιςοδύναμο μοντέλο για NAND ROM r bit C L WL r word c bit c word Παραςιτικζσ ςτο WL Χωρθτικότθτεσ ςυνδζςεων και πυλϊν Αντίςταςθ πολυπυρθτίου Παραςιτικζσ ςτο κυριαρχεί θ αντίςταςθ των εν ςειρά τρανηίςτορ χωρθτικότθτεσ Gate-Source, Gate-Drain ςε κάκε τρανηίςτορ 25 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 26 13

14 Μείωςη Καθυςτέρηςησ WL WL Driver Polysilicon word line Metal word line (a) Driving the word line from both sides Metal bypass WL K cells Polysilicon word line (b) Using a metal bypass (c) Use silicides 27 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 28 14

15 MOS NOR με προφόρτιςη f pre PMOS Προθόρηιζης WL[0] WL[1] GND WL[2] GND WL[3] [0] [1] [2] [3] Τα μεγζκθ των PMOS μποροφν να είναι όςο μεγάλα απαιτείται Απαιτείται μεγάλθ οδθγθτικι ικανότθτα ςτο ρολόι 29 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 30 15

16 Σταθερέσ Μνήμεσ Floating-gate τρανζίςτορ Source Floating gate Gate Drain D t ox G n + Substrate p t ox n +_ S Device cross-section Schematic symbol 31 Προγραμματιςμόσ Floating-gate τρανζίςτορ 20 V 0 V 5 V 10 V 5 V 20 V -5 V 0 V -2.5 V 5 V S D S D S D Avalanche injection Removing programming voltage leaves charge trapped Programming results in higher V T

17 Χαρακτηριςτικά Floating-gate 33 FLOTOX EEPROM Floating gate Gate I Source Drain nm -10 V 10 V V GD n 1 Substrate p n 1 10 nm FLOTOX transistor Fowler-Nordheim I-V characteristic 34 17

18 Κύτταρο EEPROM WL Absolute threshold control is hard Unprogrammed transistor might be depletion 2 transistor cell 35 FLASH Τρανζίςτορ - Μνήμη Πύλη Δλέγσος Δπιπλέοςζα Πύλη διαγπαθή n + source προγραμματισμός p-substrate Λεπηό οξύ θαινόμενο ηούνελ n + drain Πολλές διαθορεηικές εκδοχές 36 18

19 Βαςικέσ Λειτουργίεσ FLASH - Σβήςιμο 37 Βαςικέσ Λειτουργίεσ FLASH - Εγγραφή 38 19

20 Βαςικέσ Λειτουργίεσ FLASH Ανάγνωςη 39 NAND FLASH Μνήμη Word line(poly) Unit Cell Gate ONO Gate Oxide FG Source line (Diff. Layer) 40 20

21 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ MOS NAND ROM Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 41 RAM Στατικι Δεδομζνα αποκθκευμζνα ςτατικά για όςο είναι το κφκλωμα ςυνδεδεμζνο ςτθν πθγι Μεγάλο μζγεκοσ κυττάρων (6 τρανηίςτορ) Γριγορθ ταχφτθτα Διαφορικζσ ζξοδοι Δυναμικι Περιοδικι ανανζωςθ των αποκθκευμζνων δεδομζνων απαιτείται Μικρό μζγεκοσ κυττάρων (1-3 τρανηίςτορ) Πιο αργά από τα ςτατικά Μονι ζξοδοσ 42 21

22 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν Χρονιςμόσ Μνιμθσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL MOS NOR με προφόρτιςθ Στακερζσ Μνιμεσ RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ 43 SRAM Κύτταρο 6 Τρανζίςτορ WL M 2 M 4 Q M Q M 5 6 M 1 M

23 Voltage Rise (V) 23/5/2011 SRAM Κύτταρο 6 Τρανζίςτορ - Ανάγνωςη WL M 4 Q = 0 M 5 Q = 1 M 6 M 1 C bit C bit Τα, προφορτίηονται ςτο Vdd Κατά τθν ανάγνωςθ δεν πρζπει να αλλάξουν τα δεδομζνα του κυττάρου Το δυναμικό ςτο Q (μεταξφ Μ5, Μ1) δεν πρζπει να ανζβει και να επθρεάςει τον αντιςτροφζα Μ3/Μ4 Πρζπει R(M5) > R(M1) (διαιρετισ τάςθσ) ι CR=W1/W5 > ~ SRAM Κύτταρο 6 Τρανζίςτορ - Ανάγνωςη Cell Ratio (CR)

24 SRAM Κύτταρο 6 Τρανζίςτορ - Εγγραφή WL M 4 Q = 0 M 6 M 5 Q = 1 M 1 = 1 = 0 Κατά τθν εγγραφι πρζπει να επιβλθκεί θ τιμι του Το δυναμικό ςτο Q (μεταξφ Μ4 και Μ6) πρζπει να πζςει χαμθλά για να αλλάξει τθν κατάςταςθ του αντιςτροφζα Μ1/Μ2 Πρζπει R(M6) < R(M4) (διαιρετισ τάςθσ) ι PR = W4/W6 < ~ SRAM Κύτταρο 6 Τρανζίςτορ - Εγγραφή 48 24

25 Κύτταρο SRAM 6-Τρανζίςτορ M2 M4 Q Q M1 M3 M5 M6 GND WL 49 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 50 25

26 Κύτταρο DRAM 3-Τρανζίςτορ 1 2 WWL RWL WWL M 3 RWL M 1 X M 2 X - V T C S V T DV Η ανάγνωςθ δεν επθρεάηει τθν αποκθκευμζνθ τιμι Η τιμι που αποκθκεφεται για «1» είναι Vdd-Vt 51 Κύτταρο DRAM 3-Τρανζίςτορ 2 1 GND RWL M3 M2 WWL M

27 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν Χρονιςμόσ Μνιμθσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL MOS NOR με προφόρτιςθ Στακερζσ Μνιμεσ RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ 53 Κύτταρο DRAM 1-Τρανζίςτορ CS V V VPRE ( VBIT VPRE) C C Ο πυκνωτισ φορτίηεται ι εκφορτίηεται ςτο μζςω του WL Στθν ανάγνωςθ το φορτίο Cs μοιράηεται ςτο Cs, C Η άνοδοσ/πτϊςθ του δυναμικοφ είναι μικρι, ~250mV S 54 27

28 Κύτταρο DRAM 1-Τρανζίςτορ Απαιτεί αιςκθτιρα ενιςχυτι για τθν διάγνωςθ τθσ μεταφοράσ του φορτίου Μονι ζξοδοσ, αντί τθσ διαφορικισ ςτθν SRAM Η ανάγνωςθ καταςτρζφει τθν αποκθκευμζνθ τιμι Απαιτείται ανάγνωςθ και ανανζωςθ Το κφτταρο 1-τρανηίςτορ απαιτεί πρόςκεςθ χωρθτικότθτασ κατάλλθλου μεγζκουσ Η εγγραφι του λογικοφ-1 ςτο κφτταρο DRAM υποφζρει από πτϊςθ τάςθσ Vt Το χάςιμο φορτίου (δυναμικοφ) μπορεί να προςπεραςτεί οδθγϊντασ τα WL ςε δυναμικό μεγαλφτερο του Vdd 55 Κύτταρο DRAM 1-Τρανζίςτορ Capacitor Metal word line Γιαηομή Poly n + n + Inversion layer Poly induced by plate bias SiO 2 Field Oxide Diffused bit line Polysilicon gate Γιάηαξη Polysilicon plate M 1 word line Χπηζιμοποιεί σωπηηικόηηηα poly-si, διάσςζηρ 56 28

29 Κύτταρο DRAM 1-Τρανζίςτορ 57 Περιεχόμενα Είδθ Ολοκλθρωμζνων Μνθμϊν MOS NOR με προφόρτιςθ Χρονιςμόσ Μνιμθσ Στακερζσ Μνιμεσ Αρχιτεκτονικζσ Μνιμθσ Αποκωδικοποιθτζσ Δομι κατά φψοσ, πλάτοσ Ιεραρχικι Μνιμθ Μνιμθ CAM Κφτταρα Μόνο-Ανάγνωςθσ (ROM) Εκδοχζσ ROM MOS OR ROM MOS NOR ROM MOS NAND ROM RAM Τρανηίςτορ Επιπλζουςασ Πφλθσ (Floating Gate) EEPROM Τρανηίςτορ FLASH SRAM Κφτταρο 6 τρανηίςτορ Ανάγνωςθ, Εγγραφι, Διάταξθ DRAM Κφτταρο 3 τρανηίςτορ DRAM Κφτταρο 1 τρανηίςτορ Αιςκθτιρασ Ενιςχυτισ Μνιμθσ Ιςοδφναμα μοντζλα Μείωςθ Κακυςτζρθςθσ WL 58 29

30 Λειτουργία Αιςθητήρα Ενιςχυτή V V (1) V PRE DV(1) V(0) Δνεπγοποίηζη Δνιζσςηή Αιζθηηήπα Δνεπγοποίηζη Word line t 59 Διαφορικόσ Αιςθητήρασ Ενιςχυτήσ M 3 M 4 y Out bit M 1 M 2 bit SE M

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης RAM

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης RAM HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 ΗΥ330 - Διάλεξη 12η - Κυκλώματα Μνήμης Περιεχόμενα Είδη

Διαβάστε περισσότερα

ΜΟΝΑΔΕΣ ΜΝΗΜΗΣ. Μονάδες Μνήμης 1. Ε. Κυριάκης Μπιτζάρος ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΩΝ ΜΗΧΑΝΙΚΩΝ

ΜΟΝΑΔΕΣ ΜΝΗΜΗΣ. Μονάδες Μνήμης 1. Ε. Κυριάκης Μπιτζάρος ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΩΝ ΜΗΧΑΝΙΚΩΝ ΜΟΝΑΔΕΣ ΜΝΗΜΗΣ Μονάδες Μνήμης 1 Ταξινόμηση Μνημών Volatile Read-Write Memory Random Non-Random Access Access Μονάδες Μνήμης 2 Non-Volatile Read-Only Memory Read-Write Memory EPROM E2PROM FLASH FRAM SRAM

Διαβάστε περισσότερα

Κεφάλαιο 12 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Μνήμες 2

Κεφάλαιο 12 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Μνήμες 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Μνήμες Κεφάλαιο 1 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Οργάνωση και αρχιτεκτονική μνημών. Μνήμες 3. Μνήμες AM 4. Μνήμες

Διαβάστε περισσότερα

.Λιούπης. Ψηφιακά Ηλεκτρονικά - Ηµιαγωγικές Μνήµες 1

.Λιούπης. Ψηφιακά Ηλεκτρονικά - Ηµιαγωγικές Μνήµες 1 Ψηφιακά Ηλεκτρονικά Ηµιαγωγικές µνήµες.λιούπης Ψηφιακά Ηλεκτρονικά - Ηµιαγωγικές Μνήµες 1 Τυπική εσωτερική οργάνωση µνήµης γραµµές λέξης wordlines () κύκλωµα προφόρτισης (pre-charge circuit) γραµµές ψηφίου

Διαβάστε περισσότερα

Μελλοντικές Κατευθύνσεις

Μελλοντικές Κατευθύνσεις Ψηφιακά Ηλεκτρονικά Μελλοντικές Κατευθύνσεις.Λιούπης Ψηφιακά Ηλεκτρονικά Μελλοντικές Κατευθύνσεις 1 Ψηφιακά Ηλεκτρονικά Μελλοντικές Κατευθύνσεις 2 Σύγχρονα Τρανζίστορ Αύξηση της απόδοσης Μίγµα silicon

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 5: Μνήμες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης

Διαβάστε περισσότερα

HY422 Ειςαγωγή ςτα Συςτήματα VLSI. HY422 - Διάλεξθ 4θ - Διαςυνδζςεισ

HY422 Ειςαγωγή ςτα Συςτήματα VLSI.  HY422 - Διάλεξθ 4θ - Διαςυνδζςεισ HY422 Ειςαγωγή ςτα Συςτήματα VLSI Διδάςκων: Χ. Σωτηρίου, Βοηθόσ: Π. Ματτθαιάκησ http://www.csd.uoc.gr/~hy422 Περιεχόμενα Διαςυνδζςεισ Μοντελοποίθςθ των Παραςιτικών Διαςυνδζςεισ ςε ζνα Πραγματικό Κφκλωμα

Διαβάστε περισσότερα

HY523 Εργαςτηριακή Σχεδίαςη Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού. http://www.csd.uoc.gr/~hy523. 2 ΗΥ523 - Χωροκζτθςθ

HY523 Εργαςτηριακή Σχεδίαςη Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού. http://www.csd.uoc.gr/~hy523. 2 ΗΥ523 - Χωροκζτθςθ HY523 Εργαςτηριακή Σχεδίαςη Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού Διδάςκων: Χ. Σωτηρίου http://www.csd.uoc.gr/~hy523 1 ΗΥ523 - Χωροκζτθςθ Περιεχόμενα Δομζσ Ειςόδου/Εξόδου

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Γ. Θεοδωρίδης VLSI ΙI 2012-2013 1 Κεφάλαιο 12 Υποσυστήματα Διατάξεων VLSI ΙI 2012-2013 2 Περίγραμμα Εισαγωγή Στατική μνήμη (SRAM) Δυναμική μνήμη (DRAM) Μνήμη

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα

Διαβάστε περισσότερα

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2017

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2017 ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2017 ΔΙΑΛΕΞΗ 17: Κυκλώματα & Συστήματα Μνήμης ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ (ttheocharides@ucy.ac.cy) (ack: Prof. Mary Jane Irwin and Vijay Narayanan) [Προσαρμογή

Διαβάστε περισσότερα

HY121 Ηλεκτρικϊ Κυκλώματα

HY121 Ηλεκτρικϊ Κυκλώματα HY Ηλεκτρικϊ Κυκλώματα Διδϊςκων: Χ. Σωτηρύου, Βοηθού: Ε. Βαςιλϊκησ, Δ. Πούλιοσ http://www.csd.uoc.gr/~hy Περιεχόμενα Στατικζσ Πφλεσ CMOS και Μεγζκθ Τρανηίςτορ Λογικι Λόγου Αντίςταςθσ/Μεγεκών (NMOS) Διαφορικι

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 11:

Ψηφιακή Σχεδίαση Ενότητα 11: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 11: Μνήμη και Προγραμματίσιμη Λογική Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Συστήματα Μικροϋπολογιστών

Συστήματα Μικροϋπολογιστών Συστήματα Μικροϋπολογιστών Συστήματα Μνημών Υπεύθυνος Μαθήματος: K. ΠΕΚΜΕΣΤΖΗ Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες,

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ. ΚΕΦΑΛΑΙΟ 4ο ΜΝΗΜΕΣ. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ. ΚΕΦΑΛΑΙΟ 4ο ΜΝΗΜΕΣ. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17 ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΕΦΑΛΑΙΟ 4ο ΜΝΗΜΕΣ Μνήμες (Memory) - Είναι ημιαγώγιμα κυκλώματα που μπορούν να αποθηκεύσουν ένα σύνολο από δυαδικά ψηφία (bit). - Μια μνήμη αποθηκεύει λέξεις (σειρές από bit). - Σε κάθε

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Γ. Θεοδωρίδης VLSI ΙI 2010-2011 1 Κεφάλαιο 12 Υποσυστήματα Διατάξεων VLSI ΙI 2010-2011 2 Περίγραμμα Εισαγωγή Στατική μνήμη (SRAM) Δυναμική μνήμη (DRAM) Μνήμη

Διαβάστε περισσότερα

Μνήμη και Προγραμματίσιμη Λογική

Μνήμη και Προγραμματίσιμη Λογική Μνήμη και Προγραμματίσιμη Λογική Η μονάδα μνήμης είναι ένα στοιχείο κυκλώματος στο οποίο μεταφέρονται ψηφιακές πληροφορίες προς αποθήκευση και από το οποίο μπορούμε να εξάγουμε αποθηκευμένες πληροφορίες

Διαβάστε περισσότερα

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 1 2 3 4 5 6 7 Παραπάνω φαίνεται θ χαρακτθριςτικι καμπφλθ μετάβαςθσ δυναμικοφ (voltage transfer characteristic) για ζναν αντιςτροφζα,

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 4.1: Μέθοδοι Υλοποίησης Ολοκληρωμένων Κυκλωμάτων Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

Ειςαγωγή ςτην πληροφορική

Ειςαγωγή ςτην πληροφορική Ειςαγωγή ςτην πληροφορική Δρ. Θεοδώρου Παύλοσ theodorou@uoc.gr Δομή ηλεκτρονικού υπολογιςτή - Υλικό Μια γενικι διάκριςθ ςυςτατικϊν που ςυνκζτουν ζναν Η/Υ (πόροι *resources]) Μονάδα ειςόδου (Input unit)

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα

Διαβάστε περισσότερα

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΒΑΣΙΚΑ ΣΤΟΙΧΕΙΑ ΗΜΙΑΓΩΓΙΚΩΝ ΜΝΗΜΩΝ. ΒΑΣΙΚΗ ΛΕΙΤΟΥΡΓΙΑ RAM CMOS. ΤΥΠΟΙ ΚΥΤΤΑΡΩΝ ΑΡΧΕΣ

Διαβάστε περισσότερα

Αποκωδικοποιητές Μνημών

Αποκωδικοποιητές Μνημών Αποκωδικοποιητές Μνημών Φθινόπωρο 2008 Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Γ. Δημητρακόπουλος ΗΥ422 1 Η χρήση των αποκωδικοποιητών Η δομή της μνήμης (για λόγους πυκνότητας)

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 2: Το Τρανζίστορ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης

Διαβάστε περισσότερα

Σχεδίαση στατικών μνημών RAM

Σχεδίαση στατικών μνημών RAM Σχεδίαση στατικών μνημών RAM Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Φθινόπωρο 2008 ΗΥ422 1 Περιεχόμενα μαθήματος Οργάνωση μνημών τυχαίας προσπέλασης (Random Access Memories

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 5 : Η Εσωτερική Μνήμη Καρβούνης Ευάγγελος Τρίτη, 01/12/2015 Οι τύποι μνήμης με ημιαγωγούς 2 2 Η λειτουργία

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 5: Το CMOS transistor και κυκλώµατα CMOS ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Κυκλώµατα

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2017-2018 Δυναµικές Μνήµες - DRAM ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Βασικό Block Diagram Υποσυστηµάτων Μνήµης Word Line Address

Διαβάστε περισσότερα

, PAL PA, ΜΝΗΜΕΣ ROM)

, PAL PA, ΜΝΗΜΕΣ ROM) 10 Εό Ενότητα ΔΙΑΤΑΞΕΙΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗΣ ΛΟΓΙΚΗΣ (PLA, PAL, ΜΝΗΜΕΣ ROM) Γενικές Γραμμές PLA PAL Μνήμες ROM Βλέπε: Βιβλίο Wakerly Παράγραφοι 5.3.1, 5.3.2, 10.1, 10.1.1, 10.1.4 Βιβλίο Mano Παράγραφοι 7.5,

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Μονάδες Μνήμης και Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Μονάδες Μνήμης - Προγραμματιζόμενη Λογική Μια μονάδα μνήμης είναι ένα

Διαβάστε περισσότερα

Προςζξτε ότι για τα A, B ςε ςειρά, θ πθγι του πάνω, όταν είναι ανοικτό φτάνει μόνο τα (Vdd Vtn)V.

Προςζξτε ότι για τα A, B ςε ςειρά, θ πθγι του πάνω, όταν είναι ανοικτό φτάνει μόνο τα (Vdd Vtn)V. 1 2 Όπωσ και ςτον αντιςτροφζα, ζτςι και ςτισ βαςικζσ ι πολφπλοκεσ ςτατικζσ διατάξεισ τρανηίςτορ μποροφμε να χρθςιμοποιιςουμε το μοντζλο τθσ ιςοδφναμθσ αντίςταςθσ. Με αυτό τον τρόπο προκφπτουν πιο πολφπλοκα

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

(Ο Ηλεκτρονικός Διακόπτης)

(Ο Ηλεκτρονικός Διακόπτης) (Ο Ηλεκτρονικός Διακόπτης) The MOS Transistor Polysilicon Aluminum N MOS Τρανζίστορ ρ Διάταξη τριών ακροδεκτών Πηγή (Source) Καταβόθρα (Drain) Πύλη (Gate) Κατασκευαστικά η Πηγή και η Καταβόθρα είναι όμοιες

Διαβάστε περισσότερα

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης i Στα σύγχρονα

Διαβάστε περισσότερα

HY121 Ηλεκτρικϊ Κυκλώματα

HY121 Ηλεκτρικϊ Κυκλώματα HY121 Ηλεκτρικϊ Κυκλώματα Διδϊςκων: Χ. Σωτηρύου, Βοηθού: Ε. Βαςιλϊκησ, Δ. Πούλιοσ http://www.csd.uoc.gr/~hy121 1 HY121 - Τρανηίςτορ και Στατικζσ 3/11/2013 Περιεχόμενα Το Τρανηίςτορ ωσ Διακόπτθσ Δομι MOSFET

Διαβάστε περισσότερα

Χρ. Καβουσιανός Επίκουρος Καθηγητής

Χρ. Καβουσιανός Επίκουρος Καθηγητής Σχεδίαση Μνηµών Χρ. Καβουσιανός Επίκουρος Καθηγητής Εισαγωγή Η Μνήµη είναι ένας πίνακας από θέσεις αποθήκευσης συγκεκριµένου µεγέθους, κάθε µία από τις οποίες έχει µία διακριτή διεύθυνση Θέση 0 Θέση 1

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Προγραµµατιζόµενες

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2013-2014 Στατικές Μνήμες - SRAM 1 Περίληψη Μνήμη είναι μια συλλογή από κελιά αποθήκευσης μαζί με κατάλληλα κυκλώματα για είσοδο και έξοδο από και

Διαβάστε περισσότερα

Τεχνολογίες Κύριας Μνήμης

Τεχνολογίες Κύριας Μνήμης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κύρια Μνήμη

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.2: Συνδυαστική Λογική - Σύνθετες Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Τρανζίστορ Επίδρασης Πεδίου Field-effect transistors (FET)

Τρανζίστορ Επίδρασης Πεδίου Field-effect transistors (FET) Τρανζίστορ Επίδρασης Πεδίου Field-effect transistors (FET) Χρησιµοποιούνται σε κλίµακα υψηλής ολοκλήρωσης VLSI Χρησιµοποιούνται και σε αναλογικούς ενισχυτές καθώς και στο στάδιο εξόδου ενισχυτών Ισχύος-

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2006-2007 Στατικές Μνήµες -SRAM ΗΥ220 - Βασίλης Παπαευσταθίου 1 Περίληψη Μνήµη είναι µια συλλογή από κελιά αποθήκευσης µαζί µε κατάλληλα κυκλώµατα

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI HY422 Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθός: Π. Ματτθαιάκης http://www.csd.uoc.gr/~hy422 HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Δυναμικές Μνήμες DRAM Χειμερινό Εξάμηνο 2009 2010 Βασικό Block Diagram Υποσυστημάτων Μνήμης Word Line Address Decoder Memory cell 2 n word lines n Address Bits RAM/ROM

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2017-2018 Στατικές Μνήµες - ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Περίληψη Μνήµη είναι µια συλλογή από κελιά αποθήκευσης µαζί µε

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 5 : Η Εσωτερική Μνήμη Φώτης Βαρζιώτης 2 Ανοιχτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Ηπείρου Τμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 2 η :

Διαβάστε περισσότερα

2

2 1 2 3 Η βαςικι λειτουργία του τρανηίςτορ είναι να διακόπτει ι να επιτρζπει τθν παροχι ρεφματοσ μεταξφ των δυο του άκρων, βάςθ του δυναμικοφ ςτθν πφλθ του, είναι δθλαδι ζνασ θλεκτρικόσ διακόπτθσ ελεγχόμενοσ

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2015-2016 Στατικές Μνήμες - SRAM 1 Περίληψη Μνήμη είναι μια συλλογή από κελιά αποθήκευσης μαζί με κατάλληλα κυκλώματα για είσοδο και έξοδο από και

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2007-2008 υναµικές Μνήµες -DRAM ΗΥ220 - Βασίλης Παπαευσταθίου 1 Βασικό Block Diagram Υποσυστηµάτων Μνήµης Word Line Address Decoder Memory cell 2

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Προγραμματιζόμενη Λογική Γιατί;

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Προγραμματιζόμενη Λογική Γιατί; ΗΜΥ 20: Σχεδιασμός Ψηφιακών Συστημάτων Αυγ- ΗΜΥ-20: Σχεδιασμός Ψηφιακών Συστημάτων Συνδυαστικές Λογικές ιατάξεις Διδάσκουσα: Μαρία Κ. Μιχαήλ Περίληψη Λογικές ιατάξεις (Programmable Logic Devices PLDs)

Διαβάστε περισσότερα

ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ

ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ Πλατφόρμες ενσωματωμένων συστημάτων Διδάσκων: Παναγιώτης Καρκαζής Περίγραμμα - Δίαυλοι επικοινωνίας - Μνήμες -Συσκευές Ι/Ο Timers Counters keyboards Leds 7 segment display

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008 Τεχνολογία Ι Θεωρητικής Κατεύθυνσης Τεχνικών Σχολών Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας. Ηλεκτρονικά ΙΙ

ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας. Ηλεκτρονικά ΙΙ ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας Ηλεκτρονικά ΙΙ Πέμπτη 3/3/2011 Διδάζκων: Γιώργος Χαηζηιωάννοσ Τηλέθωνο: 99653828 Ε-mail: georghios.h@cytanet.com.cy Ώρες

Διαβάστε περισσότερα

ιεύθυνση Λέξης Ερµηνεία Περιεχοµένου Λέξης ιεύθυνση Λέξης b7 b6 b5 b4 b3 b2 b1 b0

ιεύθυνση Λέξης Ερµηνεία Περιεχοµένου Λέξης ιεύθυνση Λέξης b7 b6 b5 b4 b3 b2 b1 b0 Μάθηµα 43ο Θέµα Εισαγωγή Παραδείγµατα 1. Τι ονοµάζουµε µνήµη; Τι είδους τεχνολογία χρησιµοποιούµε συνήθως για τις µνήµες; 2. Η λέξη µιας µνήµης, από τι αποτελείται; 3. Τι ονοµάζουµε διεύθυνση µιας µνήµης

Διαβάστε περισσότερα

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy)

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) 1 Συστήματα Μνήμης Η οργάνωση του συστήματος μνήμης επηρεάζει τη λειτουργία και απόδοση ενός μικροεπεξεργαστή: Διαχείριση μνήμης και περιφερειακών (Ι/Ο) απότολειτουργικόσύστημα

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Υπολογιστικές Πλατφόρμες

Υπολογιστικές Πλατφόρμες Υπολογιστικές Πλατφόρμες Επεξεργαστής (processor) Επεξεργαστής Ψηφιακού Σήματος (Digital Signal Processor) Field programmable Gate Array (FPGA) Ολοκληρωμένο Κύκλωμα Ειδικού Σκοπού (Application Specific

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. 1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα HY330 Ψηφιακά - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 Μανταλωτές θετικής, αρνητικής πολικότητας Σχεδίαση με Μανταλωτές

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 3: Εισαγωγή στη Διαδικασία Κατασκευής (CMOS Processing) Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 5: Αντιστροφέας CMOS Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Υλοποίηση ΥΛΟΠΟΙΗΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΔΙΑΚΡΙΤΑ ΣΤΟΙΧΕΙΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΑΝΑΔΙΑΜΟΡΦΩΣΙΜΟ ΥΛΙΚΟ Ο.Κ. ΕΙΔΙΚΟΥ ΣΚΟΠΟΥ (VLSI) FULL CUSTOM (Reconfigurable

Διαβάστε περισσότερα

Εισαγωγή στην επιστήμη των υπολογιστών. Υλικό Υπολογιστών Κεφάλαιο 5ο Οργάνωση υπολογιστών

Εισαγωγή στην επιστήμη των υπολογιστών. Υλικό Υπολογιστών Κεφάλαιο 5ο Οργάνωση υπολογιστών Εισαγωγή στην επιστήμη των υπολογιστών Υλικό Υπολογιστών Κεφάλαιο 5ο Οργάνωση υπολογιστών 1 Οργάνωση υπολογιστών ΚΜΕ Κύρια Μνήμη Υποσύστημα εισόδου/εξόδου 2 Κεντρική Μονάδα Επεξεργασίας (ΚΜΕ) R1 R2 ΑΛΜ

Διαβάστε περισσότερα

Φυσική σχεδίαση ολοκληρωμένων κυκλωμάτων

Φυσική σχεδίαση ολοκληρωμένων κυκλωμάτων Φυσική σχεδίαση ολοκληρωμένων κυκλωμάτων Βασικές έννοιες και τεχνικές Γιώργος Δημητρακόπουλος Δημοκριτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 Τι χρειαζόμαστε για να φτιάξουμε

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΝΗΜΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗ ΛΟΓΙΚΗ ΥΠΕΥΘΥΝΟΣ ΕΡΓΑΣΤΗΡΙΩΝ: ΧΡΥΣΟΣΤΟΜΟΣ ΧΡΥΣΟΣΤΟΜΟΥ ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2001 ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

Δομή Ηλεκτρονικού υπολογιστή

Δομή Ηλεκτρονικού υπολογιστή Δομή Ηλεκτρονικού υπολογιστή Η κλασσική δομή του μοντέλου που πρότεινε το 1948 ο Von Neumann Κεντρική Μονάδα Επεξεργασίας Είσοδος Αποθήκη Αποθήκη - Έξοδος Εντολών Δεδομένων Κλασσικό μοντέλο Von Neumann

Διαβάστε περισσότερα

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο,

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, 2016-2017 ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΕΣ Μικροϋπολογιστής Υπολογιστής που χρησιμοποιείται για την είσοδο, επεξεργασία και έξοδο πληροφοριών. Είδη μικροϋπολογιστών:

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

ΕΙ Η ΜΝΗΜΩΝ ΠΤΥΤΙΚΕΣ ΜΗ ΠΤΥΤΙΚΕΣ

ΕΙ Η ΜΝΗΜΩΝ ΠΤΥΤΙΚΕΣ ΜΗ ΠΤΥΤΙΚΕΣ ΜΝΗΜΕΣ ΕΙ Η ΜΝΗΜΩΝ ΠΤΥΤΙΚΕΣ ΜΗ ΠΤΥΤΙΚΕΣ 2 ΠΤΥΤΙΚΕΣ vs ΜΗ ΠΤΥΤΙΚΕΣ Πτητική είναι η µνήµη η οποία χάνει το περιεχόµενο της µε το σβήσιµο του ηλεκτρονικού υπολογιστή (διακοπή τροφοδοσίας), ενώ µη πτητική

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών (ΙI)

Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2016-17 Οργάνωση Υπολογιστών (ΙI) (κύρια και κρυφή μνήμη) http://mixstef.github.io/courses/csintro/ Μ.Στεφανιδάκης Ένα τυπικό

Διαβάστε περισσότερα

Τμήμα Οικιακής Οικονομίας και Οικολογίας. Οργάνωση Υπολογιστών

Τμήμα Οικιακής Οικονομίας και Οικολογίας. Οργάνωση Υπολογιστών Οργάνωση Υπολογιστών Οργάνωση υπολογιστών ΚΜΕ Κύρια Μνήμη Υποσύστημα εισόδου/εξόδου Κεντρική Μονάδα Επεξεργασίας (ΚΜΕ) R1 R2 ΑΛΜ R3 I Καταχωρητές PC Κεντρική Μονάδα Επεξεργασίας Αριθμητική και λογική μονάδα

Διαβάστε περισσότερα

Chapter 9 Memory Basics

Chapter 9 Memory Basics Logic and Computer Design Fundamentals Chapter 9 Memory Basics Charles Kime & Thomas Kaminski 2004 Pearson Education, Inc. Terms of Use (Hyperlinks are active in View Show mode) Περίληψη Memory definitions

Διαβάστε περισσότερα

Κεφάλαιο 2 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab

Κεφάλαιο 2 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Αναστροφέας Κεφάλαιο ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας VLSI Systems ad Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. I V χαρακτηριστική

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Οδυσσέας Κουφοπαύλου, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας

Διαβάστε περισσότερα

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ Γιώργος Δημητρίου Μάθημα 8 ο ΠΜΣ Εφαρμοσμένη Πληροφορική ΜΟΝΑΔΑ ΜΝΗΜΗΣ Επαρκής χωρητικότητα αποθήκευσης Αποδεκτό μέσο επίπεδο απόδοσης Χαμηλό μέσο κόστος ανά ψηφίο Ιεραρχία μνήμης

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/e330 1 Περιεχόμενα Διαισθητική λειτουργία Χαρακτηριστικά Αντιστροφέα

Διαβάστε περισσότερα

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική Επιµέλεια διαφανειών: Χρ. Καβουσιανός Μνήµη Η µνήµη καταλαµβάνει το µεγαλύτερο µέρος ενός υπολογιστικού συστήµατος Δύο τύποι: ROM - RAM RΟΜs CPU

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://www.csd.uoc.gr/~hy330 1 Περιεχόμενα Συσκευές στο Πυρίτιο Πυρίτιο n και p Δίοδος Θετική, αρνητική

Διαβάστε περισσότερα

Μνήμες RAM. Διάλεξη 12

Μνήμες RAM. Διάλεξη 12 Μνήμες RAM Διάλεξη 12 Δομή της διάλεξης Εισαγωγή Κύτταρα Στατικής Μνήμης Κύτταρα Δυναμικής Μνήμης Αισθητήριοι Ενισχυτές Αποκωδικοποιητές Διευθύνσεων Ασκήσεις 2 Μνήμες RAM Εισαγωγή 3 Μνήμες RAM RAM: μνήμη

Διαβάστε περισσότερα

Καθυστέρηση στατικών πυλών CMOS

Καθυστέρηση στατικών πυλών CMOS Καθυστέρηση στατικών πυλών CMOS Πρόχειρες σημειώσεις Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Άνοιξη 2008 Παρόλο που οι εξισώσεις των ρευμάτων των MOS τρανζίστορ μας δίνουν

Διαβάστε περισσότερα

HY422 Ειςαγωγή ςτα υςτήματα VLSI. 5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ

HY422 Ειςαγωγή ςτα υςτήματα VLSI.  5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ HY422 Ειςαγωγή ςτα υςτήματα VLSI Διδάςκων: Χ. ωτηρίου, Βοηθόσ: Π. Ματθαιάκησ http://www.csd.uoc.gr/~hy422 1 ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ Περιεχόμενα Γενικό Μοντζλο φγχρονου Κυκλώματοσ Είδθ Μονοπατιών

Διαβάστε περισσότερα

HY523 Εργαςτηριακό χεδύαςη Ψηφιακών Κυκλωμϊτων με εργαλεύα Ηλεκτρονικού χεδιαςτικού Αυτοματιςμού.

HY523 Εργαςτηριακό χεδύαςη Ψηφιακών Κυκλωμϊτων με εργαλεύα Ηλεκτρονικού χεδιαςτικού Αυτοματιςμού. HY523 Εργαςτηριακό χεδύαςη Ψηφιακών Κυκλωμϊτων με εργαλεύα Ηλεκτρονικού χεδιαςτικού Αυτοματιςμού Διδϊςκων: Χ. ωτηρύου http://www.csd.uoc.gr/~hy523 1 Περιεχόμενα Ροι Φυςικισ χεδίαςθσ χεδίαςθ με Κακιερωμζνα

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

6 θ διάλεξθ Σχεδίαςθ και Υλοποίθςθ Συνδυαςτικϊν Κυκλωμάτων ςε επίπεδο Τρανηίςτορ

6 θ διάλεξθ Σχεδίαςθ και Υλοποίθςθ Συνδυαςτικϊν Κυκλωμάτων ςε επίπεδο Τρανηίςτορ 6 θ διάλεξθ Σχεδίαςθ και Υλοποίθςθ Συνδυαςτικϊν Κυκλωμάτων ςε επίπεδο Τρανηίςτορ 1 2 Οποιοδιποτε κφκλωμα εμπεριζχει τθν ζννοια τθσ τρζχουςασ κατάςταςθσ είναι ακολουκιακό. Ζτςι, κυκλϊματα όπωσ ΜΡΚ, καταχωρθτζσ,

Διαβάστε περισσότερα

Λογικά Ψθφιακά Κυκλϊματα

Λογικά Ψθφιακά Κυκλϊματα Λογικά Ψθφιακά Κυκλϊματα Δρ. Χρήστος Ηλιούδης Θζματα διάλεξησ Βαςικεσ λογικεσ ςυναρτηςεισ Βαςικεσ πυλεσ Συνθετεσ πυλεσ ςυνδυαςτικά κυκλώματα 2 Ψηφιακζσ Λογικζσ Πφλεσ Οι λογικζσ ςυναρτιςεισ είναι δυνατόν

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.1: Συνδυαστική Λογική - Βασικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI)

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 015-16 Οργάνωση Υπολογιστών (ΙI) (κύρια και ) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Ένα τυπικό υπολογιστικό

Διαβάστε περισσότερα

HY121 Ηλεκτρικά Κυκλώματα

HY121 Ηλεκτρικά Κυκλώματα HY121 Ηλεκτρικά Κυκλώματα Διδάςκων: Χ. Σωτηρίου, Βοηθοί: Ε. Βαςιλάκησ, Δ. Πούλιοσ http://www.csd.uoc.gr/~hy121 1 Περιεχόμενα Μζτρα ποιότθτασ Κυκλϊματοσ Κόςτοσ Παραγωγισ Ψθφιακι Λογικι - Καμπφλθ Μετάβαςθσ

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ Ι ΤΡΑΝΖΙΣΤΟΡ ΕΠΙΔΡΑΣΗΣ ΠΕΔΙΟΥ. Eλεγχος εσωτερικού ηλεκτρικού πεδίου με την εφαρμογή εξωτερικού δυναμικού στην πύλη (gate, G).

ΗΛΕΚΤΡΟΝΙΚΗ Ι ΤΡΑΝΖΙΣΤΟΡ ΕΠΙΔΡΑΣΗΣ ΠΕΔΙΟΥ. Eλεγχος εσωτερικού ηλεκτρικού πεδίου με την εφαρμογή εξωτερικού δυναμικού στην πύλη (gate, G). ΗΛΕΚΤΡΟΝΙΚΗ Ι 1. Ημιαγωγική δίοδος Ένωση pn 2. Τρανζίστορ FET 3. Πόλωση των FET - Ισοδύναμα κυκλώματα 4. Ενισχυτές με FET 5. Διπολικό τρανζίστορ (BJT) 6. Πόλωση των BJT - Ισοδύναμα κυκλώματα 7. Ενισχυτές

Διαβάστε περισσότερα

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Μάθημα 4.5 Η Μνήμη - Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Όταν ολοκληρώσεις το μάθημα αυτό θα μπορείς: Να αναφέρεις τα κυριότερα είδη μνήμης

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.3: Συνδυαστική Λογική - Δυναμικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ,

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 1 2 3 4 Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 8-bit ςε DSP) και αυτι κακορίηει και τθν δομι τθσ

Διαβάστε περισσότερα

Εισαγωγή στα κυκλώµατα CMOS 2

Εισαγωγή στα κυκλώµατα CMOS 2 1 η Θεµατική Ενότητα : Εισαγωγή στα κυκλώµατα CMOS Επιµέλεια διαφανειών:. Μπακάλης Εισαγωγή Τεχνολογία CMOS = Complementary Metal Oxide Semiconductor Συµπληρωµατικού Ηµιαγωγού Μετάλλου Οξειδίου Αποτελείται

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφική Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφική Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφική Σχεδίαση Ενότητα 4: Υλοποίηση Κυκλωμάτων με πύλες NOT AND και NOR, περιττή συνάρτηση, συνάρτηση ισοτιμίας. Δρ. Μηνάς Δασυγένης @ieee.ormdasygg Εργαστήριο

Διαβάστε περισσότερα

HY121 Ηλεκτρικϊ Κυκλώματα

HY121 Ηλεκτρικϊ Κυκλώματα HY121 Ηλεκτρικϊ Κυκλώματα Διδϊςκων: Χ. Σωτηρύου, Βοηθού: Ε. Βαςιλϊκησ, Δ. Πούλιοσ http://www.csd.uoc.gr/~hy121 1 Περιεχόμενα Συςκευζσ ςτο Πυρίτιο Πυρίτιο n και p Δίοδοσ Θετικι, αρνθτικι πόλωςθ Εξίςωςθ

Διαβάστε περισσότερα

Εργαστηριακή άσκηση στο μάθημα του Αυτομάτου Ελέγχου (ΜΜ803)

Εργαστηριακή άσκηση στο μάθημα του Αυτομάτου Ελέγχου (ΜΜ803) Εργαστηριακή άσκηση στο μάθημα του Αυτομάτου Ελέγχου (ΜΜ803) Το ςφςτθμα τθσ φωτογραφίασ αποτελείται από ζνα κινθτιρα ςτον άξονα του οποίου ζχουμε προςαρμόςει ζνα φορτίο. Στον κινθτιρα υπάρχει ςυνδεδεμζνοσ

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 4 η :

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα

Διαβάστε περισσότερα