ΕΓΧΕΙΡΙΔΙΟ ΜΑΘΗΜΑΤΟΣ Αυτόματης Σχεδίασης VHDL

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΕΓΧΕΙΡΙΔΙΟ ΜΑΘΗΜΑΤΟΣ Αυτόματης Σχεδίασης VHDL"

Transcript

1 ΑΝΩΤΑΤΟ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΠΕΙΡΑΙΑ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΓΧΕΙΡΙΔΙΟ ΜΑΘΗΜΑΤΟΣ Αυτόματης Σχεδίασης VHDL Ντουμπάκης Ιωάννης Γεωργακόπουλος Χαράλαμπος Δημητροπούλου Ανδριάνα Εργαστηριακοί Συνεργάτες Τμήματος Η.Υ.Σ. ΕΠΕΑΚ ΙΙ Αιγάλεω 2005

2 Περιεχόμενα Περιεχόμενα ΚΕΦΑΛΑΙΟ ΠΡΩΤΟ ΠΡΟΛΟΓΟΣ ΓΕΝΙΚΑ ΓΙΑ ΤΗΝ ΓΛΩΣΣΑ VHDL ΑΛΛΕΣ ΓΛΩΣΣΕΣ ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ Διαδικασία ανάπτυξης Σχεδίαση μιας Μονάδας Ψηφιακού Συστήματος ΚΕΦΑΛΑΙΟ ΔΕΥΤΕΡΟ ΕΡΓΑΣΤΗΡΙΑΚΟ ΜΕΡΟΣ Εισαγωγή Έναρξη Ηλεκτρονική Βοήθεια του λογισμικού Max+pIusll ΤΡΟΠΟΙ ΕΙΣΑΓΩΓΗΣ ος ΤΡΟΠΟΣ ΕΙΣΑΓΩΓΗΣ ΕΙΣΑΓΩΓΗ ΣΧΕΔΙΟΥ ΧΡΗΣΙΜΟΠΟΙΩΝΤΑΣ ΤΗ ΓΛΩΣΣΑ VHDL Λίγα λόγια για την γλώσσα Εισαγωγή Σχεδίου χρησιμοποιώντας τη γλώσσα VHDL Χρήση του μεταφραστή (Compiler) Εκτέλεση Προσομοίωσης Λειτουργίας Υλοποίηση κυκλώματος σε ένα MAX 7000 CPLD (download ) Χρήση του Επεξεργαστή Κατόψεων Φόρτωση ενός κυκλώματος σε μια διάταξη ος ΤΡΟΠΟΣ ΕΙΣΑΓΩΓΗΣ ΕΙΣΑΓΩΓΗ ΣΧΕΔΙΟΥ ΜΕ ΤΗ ΒΟΗΘΕΙΑ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Ορισμός Ονόματος του Έργου Χρήση του Επεξεργαστή Γραφικών Παραστάσεων (Graphic Editor) Ονομασία του σχηματικού διαγράμματος Εισαγωγή Συμβόλων Λογικών Πυλών Σύνθεση ενός Κυκλώματος από το Σχηματικό Διάγραμμα ος ΤΡΟΠΟΣ ΕΙΣΑΓΩΓΗΣ ΕΙΣΑΓΩΓΗ ΣΧΕΔΙΟΥ ΜΕ ΤΗΝ ΒΟΗΘΕΙΑ ΠΙΝΑΚΩΝ ΑΛΗΘΕΙΑΣ Δημιουργία Διαγράμματος Χρονισμού ος ΤΡΟΠΟΣ ΕΙΣΑΓΩΓΗΣ ΣΥΝΔΥΑΣΜΟΣ ΜΕΘΟΔΩΝ ΕΙΣΑΓΩΓΗΣ ΣΧΕΔΙΑΣΗΣ Δημιουργία Σχηματικού Διαγράμματος που Περιλαμβάνει έναν Πίνακα Αλήθειας Σύνθεση και Προσομοίωση Κυκλώματος από το Σχηματικό Διάγραμμα ΚΕΦΑΛΕΟ ΤΡΙΤΟ ΠΑΡΑΡΤΗΜΑΤΑ ΤΥΠΟΙ ΣΗΜΑΤΩΝ ΓΙΑ ΤΗΝ ΓΛΩΣΣΑ VHDL Τύποι BIT και BIT_VECTOR Τύποι STD_LOGIC και STD_LOGIC_VECTOR Τύπος STD_ULOGIC Τύποι SIGNED και UNSIGNED Τύπος INTEGER Τύπος BOOLEAN Τύπος ENUMERATION Αντικείμενα Δεδομένων Τύπου CONSTANT Αντικείμενα Δεδομένων Τύπου VARIABLE ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 2

3 7.11 ΣΧΗΜΑΤΙΚΗ ΑΠΕΙΚΟΝΙΣΗ ΣΤΑ PINS ΤΟΥ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΚΕΓΑΛΕΟ ΤΕΤΑΡΤΟ Settings για τα Board UP1 και UP Εισαγωγή MAX+PLUS II πανεπιστημιακό λογισμικό UΡ 1 & UΡ 2 Board εκπαίδευσης Το ολοκληρωμένο FLEX EPF10K FLEX EPF10K20 ολοκληρωμένο Το ολοκληρωμένο MAX EPM7128S ByteBlaster MV TM καλώδιο διασύνδεσης παράλληλης πόρτας Τάση τροφοδοσίας Ταλαντωτής (Clock) Είσοδος JTAG Βραχυκυκλωτηρες (jumpers) MAX EPM7128S ολοκληρωμένο Connectors πρόσβασης ολοκληρωμένου MAX EPM7128S ΜΑΧ ΡΒ1 & ΜΑΧ _ΡΒ2 push button MAX SW1 & MAX_SW2 σειρές διακόπτες D1 έως D16 LEDs MAX_DIGIT seven segment MAX_EXPANSION Ολοκληρωμένο FLEX 10 Κ FLEX_PB1 & FLEX_PB2 push button διακόπτες FLEX_SW1 διακόπτες FLEX_DIGIT ένδειξη Πόρτα VGA Mouse Connector FLEX_EXPAN_A, FLEX_EXPAN_B & FLEX_EXPAN_C ΚΕΦΑΛΕΟ ΠΕΜΠΤΟ Εγκατασταση Λογισμικου Windows 98/2000, Windows ΝΤ 4.0 & XP Προγραμματισμός του MAX EPM7128S Προγραμματισμός του FLEX EPF10K70 ή FLEX EPF10K Διαμορφώστε /προγραμματίστε και τις δύο συσκευές Συνδέστε πολλαπλά UP Boards εκπαίδευσης σε μια αλυσίδα Λειτουργία οδηγών VGA Συγχρονισμός VGA Λειτουργία mouse interface ΚΕΦΑΛΕΟ ΕΚΤΟ ΠΑΡΑΔΕΙΓΜΑΤΑ ΑΣΚΗΣΕΙΣ Πύλη NOT (Αναστροφέας) Πύλη AND Πύλη NAND Πύλη XOR Πολυπλέκτης 4 σε D flip flop Ημιαθροιστής (Half Adder) ΔΗΜΙΟΥΡΓΙΑ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ BCD ΣΕ ΕΝΔΕΙΚΤΗ ΕΠΤΑ ΤΜΗΜΑΤΩΝ (BCD to 7 Segment Decoder) Άλλος τρόπος υλοποίησης ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 3

4 12 A.L.U. ME δύο. 7-SEGMENT DISPLEY Υλοποίηση της βαθμήδας ALU με VHDL UP-DOWN COUNTER TO 7-SEGMENT Κώδικας selector σε vhdl Κώδικας διαίρεση ρολογιού FRIQDIVI1 σε vhdl Αμφίδρομος μετρητής UP/DOWN BCD ΔΥΟ ΨΗΦΙΩΝ Αποκωδικοποιιτής BCD σε 7 segment display με γλώσσα vhdl BCD COUNTER ΣΕ VGA FRIQDIV BCDUDCOUNTER SEG SEG2VGA ΕΜΦΑΝΙΣΗ ΧΑΡΑΚΤΗΡΩΝ ΑΠΟ ΠΛΗΚΤΡΟΛΟΓΙΟ ΣΕ VGA ΟΘΟΝΗ Εισαγωγή Μονάδα Keyboard ΠΡΩΤΟΚΟΛΛΟ ΜΕΤΑΔΟΣΗΣ Μονάδα Video Η μονάδα Control Εξηγήσεις για τον κώδικα keyboard σε vhdl Ο ΚΩΔΙΚΑΣ KEYBOARD Εξηγήσεις για τον κώδικα control σε vhdl Ο ΚΩΔΙΚΑΣ CONTROL σε vhdl Εξηγήσεις για τον κώδικα VGA (video) σε vhdl Ο ΚΩΔΙΚΑΣ VIDEO (VGA) σε vhdl ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 4

5 1. ΚΕΦΑΛΑΙΟ ΠΡΩΤΟ 1.1 ΠΡΟΛΟΓΟΣ Όσο το μέγεθος και η πολυπλοκότητα των ψηφιακών συστημάτων αυξάνει, τόσο και περισσότερα εργαλεία CAD (Computer Aided Design) εισάγονται και χρησιμοποιούνται στην διαδικασία της ψηφιακής σχεδίασης. Ιδιαίτερα στο πεδίο της VLSI σχεδίασης (Very Large Scale Integration) όπου οι σύγχρονες απαιτήσεις των ολοκληρωμένων κυκλωμάτων σε αριθμό λογικών πυλών είναι συνεχώς αυξητικές τα εργαλεία CAD απλοποιούν τη διαδικασία και έχουν την δυνατότητα της επαλήθευσης της ορθής διαδικασίας σχεδίασης καθώς και με δυνατότητες αυτόματης υλοποίησης hardware. Στην ψηφιακή σχεδίαση έχουμε την εισαγωγή γλωσσών προγραμματισμού γνωστές ως HDL ( Hardware Description Languages). Το VHDL προκύπτει ως συντομογραφία των δύο ακρώνυμων. Το V είναι το αρχικό του VHSIC (Very High Speed integrated Circuit) και το HDL (Hardware Description Language). Η ιδανική διαδικασία σχεδίασης μπορεί να υπάρξει μόνο αν υπάρχει μια γλώσσα για να περιγράφει τα διάφορα επίπεδα έτσι ώστε να μπορεί να είναι κατανοητή στους managers, στους χρήστες, στους σχεδιαστές, σε αυτούς που τεστάρουν και πιστοποιούν τη σχεδίαση, σε simulators και υπολογιστικές μηχανές. Η VHDL, γλώσσα περιγραφής hardware από την απλούστερη έως την πολυπλοκότερη μορφή του, standard της ΙΕΕΕ, είναι ακριβώς μια τέτοια γλώσσα. Η VHDL ορίστηκε διότι παρουσιάστηκε η ανάγκη ύπαρξης μιας ολοκληρωμένης γλώσσας σχεδίασης και documentation, για την επικοινωνία των σχεδιαστικών δεδομένων στα διάφoρα επίπεδα αφαιρετικών περιγραφών (levels of abstraction). Το ότι επιλέχθηκε ως standard από την ΙΕΕΕ εξηγείται από το γεγονός ότι μέχρι εκείνη τη δεδομένη χρονική στιγμή καμιά από τις υπάρχουσες γλώσσες περιγραφής hardware δεν ικανοποιούσε αυτές τις απαιτήσεις, και η έλλειψη της ακρίβειας στα Αγγλικά τις έκανε αμφιλεγόμενες προς χρήση για αυτό το σκοπό. Η ανάπτυξη της γλώσσας ξεκίνησε στις Ηνωμένες Πολιτείες από το υπουργείο άμυνας (DoD) το καλοκαίρι του 1981 στα πλαίσια του VHSIC προγράμματος που βρισκόταν τότε σε εξέλιξη. Δόθηκε επιχορήγηση για τη δημιουργία ενός πρότυπου εργαστηρίου στο Woods Hole της Μασαχουσέτης με σκοπό την έρευνα πάνω στις Hardware Descriptίοn Languages. Η λειτουργία του εργαστηρίου καθορίστηκε από το Institute for Defense Analysis (ΙDΑ), με στόχο τη μελέτη διαφόρων τρόπων περιγραφής hardware, την ανάγκη ύπαρξης μιας standard γλώσσας, και τα χαρακτηριστικά που ίσως ένα τέτοιο standard να απαιτούσε. Εξαιτίας του ότι το πρόγραμμα VHSIC ήταν κάτω από τους περιορισμούς του United States International Traffic and Arms Regulations (ITAR), η αρχική σύσταση της VHDL του προγράμματος ήταν υποκείμενη σε αυτούς τους περιορισμούς. Το 1983, το DoD καθόρισε τις απαιτήσεις για τη standard VHSIC Hardware Descriptiοn Language (VHDL), με βάση τις προτάσεις του εργαστηρίου Woods Hole. Για την πλήρη ανάπτυξη της γλώσσας VHDL, το περιβάλλον της, και το software που θα τη συνόδευε έγινε ανάθεση στις εταιρίες ΙΒΜ, Texas Instruments και Intermetrcs. Η εργασία στη VHDL ξεκίνησε το καλοκαίρι του 1983 που έπαψε να βρίσκετε κάτω από τους περιορισμούς του ITAR. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 5

6 1.2 ΓΕΝΙΚΑ ΓΙΑ ΤΗΝ ΓΛΩΣΣΑ VHDL Δύο είναι οι λόγοι για την καθιέρωση ως στάνταρτ την VHDL γλώσσα έναντι των άλλων : 1) περιορισμός του χρόνου ανάπτυξης μιας ηλεκτρονικής σχεδίασης και 2) απλούστερη διατήρηση της σχεδίασης και ευκολία αλλαγών. Καλά εργαλεία VHDL και ιδιαίτερα VHDL simulators έχουν αναπτυχθεί για PCs τα τελευταία χρόνια Αυτό σημαίνει ό,τι έχουν μειωθεί δραματικά οι τιμές και η δυνατότητα ακόμα και μικρές εταιρίες ή μεμονωμένοι χρήστες να χρησιμοποιήσουν τη VHDL. Το γεγονός όμως που έχει φέρει επανάσταση στο χώρο της ψηφιακής σχεδίασης είναι ο τρόπος με τον οποίο αρκετές χιλιάδες πυλών και flip-flop μπορούν να προγραμματιστούν ως ένα και μοναδικό ολοκληρωμένο κύκλωμα (IC circuit) σε λίγα μόνο λεπτά από ένα απλό PC χωρίς την ανάγκη ύπαρξης ακριβού εξοπλισμού. Αυτό σημαίνει ότι είναι δυνατό να υλοποιηθεί αυτόματα ένα αρχείο από τη VHDL για των προγραμματισμό των ολοκληρωμένων κυκλωμάτων. Αυτή η μέθοδος ονομάζεται rαpid prototyping. Τα ολοκληρωμένα αυτά κυκλώματα είναι έτοιμα ολοκληρωμένα, με δυνατότητα προγραμματισμού σύμφωνα με τις απαιτήσεις της σχεδίασης. Συνήθως αναφέρονται με το ευρέως χρησιμοποιούμενο όρο ASIC (Applicαtion Specific Intergrαted Circuit) - Ολοκληρωμένα κυκλώματα ειδικών εφαρμογών. Σε αυτά απλώς περιγράφεται η επιθυμητή λειτουργία του κυκλώματος σε γλώσσα VHDL και στη συνέχεια γίνεται DOWNLOAD στο ολοκληρωμένο. Το ίδιο ολοκληρωμένο μπορεί να λειτουργεί ως Π.χ. μια πύλη AND ή ως COUNTER ανάλογα τον κώδικα. Τα programmable ολοκληρωμένα που υπάρχουν αυτή τη στιγμή στην αγορά είναι τα FPGAs, PLDs, CPLD, SFPGA κλ.π. Η τιμή τους συνήθως εξαρτάται από το μέγιστο αριθμό πυλών που διαθέτουν και από τον αριθμό των επαναλήψεων της δυνατότητας προγραμματισμού και σβησίματος. Αυτή τη στιγμή τα πιο δημοφιλή ολοκληρωμένα στους χώρους σχεδίασης είναι τα FPGAs (Fast Programmable Gate Arrays) με ισοδύναμο αριθμό λογικών πυλών πάνω από τις , και συνήθως είναι και τα πιο ακριβά. Για να γίνει ο προγραμματισμός των έτοιμων ολοκληρωμένων πέρα από το εργαλείο VHDL και simulator απαιτείται και ένα εργαλείο σύνθεσης (synthesis tool). Στην αγορά υπάρχουν σε PC εκδόσεις, εργαλεία σύνθεσης κυρίως για FPGAs και PLDs αλλά η λειτουργικότητά τους είναι ελαφρώς περιορισμένη σε σχέση με τις εκδόσεις που παρέχονται για workstations. Η σύνταξη στη γλώσσα VHDL είναι κατά κάποιο τρόπο παρόμοια με τις γνωστές γλώσσες προγραμματισμού υψηλού επιπέδου όπως είναι η C και η Pascal, αλλά η συμπεριφορά της είναι τελείως διαφορετική. Η βασική ιδιαιτερότητα της γλώσσας είναι ό,τι είναι μια γλώσσα για το hardware, οι εντολές της εκτελούνται παράλληλα (concurrent statement) ενώ ταυτόχρονα υπάρχει και η δυνατότητα της σειριακής εκτέλεσης. Στις software γλώσσες υπάρχει μόνο η δυνατότητα της σειριακής εκτέλεσης. Γλώσσες σαν τη C και την Pascal «προσαρμόζονται» σε μια CPU π. χ. σε ένα σειριακό μηχανισμό ο οποίος εκτελεί μια εντολή σε κάθε χρονική στιγμή, ενώ η VHDL «προσαρμόζεται» σε γενικές δομές του hardware. Η δομή του hardware είναι ως επί το πλείστον παράλληλη. Το αποτέλεσμα σημαίνει ό,τι η εκτέλεση είναι πολύ ανώτερης ποιότητας με τη VHDL παρά με τις συνήθης - έως τώραγλώσσες προγραμματισμού για CPUs. Αναμένεται ό,τι η VHDL θα χρησιμοποιείται όλο και περισσότερο ως μια γλώσσα υλοποίησης στη θέση των γλωσσών software. Πιστεύεται επίσης ό,τι στο μέλλον η πλειονότητα των μικρών microcontrollers θα σχεδιάζονται στη VHDL αντί με κώδικα μηχανής ή CPU κώδικα. (CPU and machine code). ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 6

7 1.3 ΑΛΛΕΣ ΓΛΩΣΣΕΣ Αναπτύχθηκαν πολλές γλώσσες περιγραφής σαν τη VHDL. Η διαφορά είναι ότι η VHDL είναι standard, και είναι πρωτοπόρα από όλες τις άλλες τόσο στον ακαδημαϊκό χώρο όσο και στο χώρο της αγοράς. Σήμερα μεγάλος αριθμός εταιριών που δουλεύουν με τεχνολογία αιχμής χρησιμοποιούν αποκλειστικά VHDL για τα ψηφιακά συστήματα. Μια άλλη επίσης δημοφιλής γλώσσα είναι η VERILOG η οποία χρησιμοποιεί το RTL επίπεδο. Άλλες HDL γλώσσες που έχουν αναπτυχθεί από πανεπιστήμια και ερευνητικά κέντρα είναι: SLIDE Sructured Language for Interface Description and Evaluation (Parker and Wallace, 1981) CONLAN CONsensus LANguage (Piloty et al, 1983) ISPS Instruction Set Processor Specification (Barbacci et al,1979) ADLIB Α Design Language for Indicating Behaviour (Ηίll et al. 1979) OODE Object Oriended Description Environment for computer hardware (Takeuchi, 1981) BORIS Block-Oriented Interacting Simulation System (Decker and Maierhofer, 1984) ZEUS (General Electric Corporation) TEGAS, TI-HDL, CDL. 1.4 ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ 1.5 Διαδικασία ανάπτυξης Η διαθεσιμότητα εργαλείων που στηρίζονται σε υπολογιστές έχει επηρεάσει σημαντικά τη σχεδιαστική διαδικασία σε διάφορα περιβάλλοντα σχεδίασης. Για παράδειγμα, η σχεδίαση ενός αυτοκινήτου ομοιάζει με τη γενική διαδικασία σχεδίασης ενός φούρνου ή ενός υπολογιστή. Πρέπει να γίνουν συγκεκριμένα βήματα ανάπτυξης κατά τη διαδικασία αυτή εάν πρόκειται το τελικό προϊόν να πληρεί κάποιες προδιαγραφές. Θα ξεκινήσουμε περιγράφοντας έναν τυπικό κύκλο ανάπτυξης με τους περισσότερο δυνατούς γενικούς όρους. Στη συνέχεια θα επικεντρωθούμε σε ειδικά ζητήματα που χαρακτηρίζουν τα λογικά κυκλώματα. Το διάγραμμα ροής του Σχήματος 1.1 παρουσιάζει μία τυπική διαδικασία ανάπτυξης. Θεωρούμε ότι η διαδικασία στοχεύει στην ανάπτυξη ενός προϊόντος που πληρεί κάποιες προδιαγραφές. Οι πιο προφανείς απαιτήσεις είναι ότι το προϊόν θα πρέπει να λειτουργεί σωστά και ότι θα πρέπει να έχει κάποιο συγκεκριμένο βαθμό απόδοσης, ενώ παράλληλα το κόστος του δε θα πρέπει να υπερβαίνει κάποια τιμή. Η διαδικασία ξεκινά με τον καθορισμό των προδιαγραφών του προϊόντος. Καθορίζονται τα σημαντικά χαρακτηριστικά του προϊόντος και επιλέγεται μία αποδεκτή μέθοδος αξιολόγησης των χαρακτηριστικών αυτών στο τελικό προϊόν. Οι προδιαγραφές πρέπει να είναι ιδιαίτερα αυστηρές ώστε να διασφαλιστεί ότι το τελικό προϊόν, θα πληρεί τις γενικές προδιαγραφές, αλλά όχι ανώφελα περιοριστικές (δηλαδή δε θα πρέπει να αποτρέπουν επιλογές που ενδέχεται να οδηγήσουν σε μελλοντικά οφέλη). Με βάση το πλήρες σύνολο προδιαγραφών είναι αναγκαίο να καθοριστεί η γενική δομή και η αρχική μορφή που θα έχει το προϊόν. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 7

8 Αυτό το βήμα είναι δύσκολο να αυτοματοποιηθεί. Εν γένει εκτελείται από κάποιον άνθρωποσχεδιαστή, επειδή δεν υπάρχει συγκεκριμένη στρατηγική ανάπτυξης της γενικής δομής ενός αντικειμένου, καθώς για να γίνει αυτό απαιτείται σημαντική σχεδιαστική εμπειρία και διαίσθηση. Αφότου αποφασιστεί η γενική δομή, χρησιμοποιούνται εργαλεία σχεδίασης CAD για την επεξεργασία των λεπτομερειών. Σήμερα διατίθενται πολλές μορφές προγραμμάτων CAD, οι οποίες εκτείνονται από αυτές που βοηθούν τη σχεδίαση επιμέρους τμημάτων έως αυτές που επιτρέπουν την παράσταση ολόκληρης της δομής του προϊόντος στον υπολογιστή. Όταν ολοκληρωθεί η αρχική σχεδίαση, τα αποτελέσματα πρέπει να ελεγχθούν ως προς τις αρχικές προδιαγραφές. Παραδοσιακά, προτού αναπτυχθούν τα προγράμματα σχεδίασης CAD, το βήμα αυτό περιελάμβανε την κατασκευή ενός φυσικού μοντέλου του σχεδιασμένου προϊόντος, το οποίο γενικά περιείχε μόνον τα τμήματα - κλειδιά. Σήμερα είναι σπάνια απαραίτητη η κατασκευή ενός φυσικού μοντέλου. Τα προγράμματα CAD επιτρέπουν τους σχεδιαστές να προσομοιώνουν τη συμπεριφορά απίστευτα περίπλοκων προϊόντων και αυτές οι προσομοιώσεις χρησιμοποιούνται για να αποφασιστεί αν το σχέδιο που προέκυψε πληρεί τις καθορισμένες προδιαγραφές. Αν διαπιστωθεί η ύπαρξη σφαλμάτων, τότε εκτελούνται οι αναγκαίες αλλαγές και το νέο σχέδιο ελέγχεται εκ νέου μέσω και πάλι της διαδικασίας της προσομοίωσης. Παρότι μπορεί να μην ανιχνευθούν κάποια σχεδιαστικά σφάλματα, εν γένει η διάγνωση των περισσοτέρων προβλημάτων γίνεται σε αυτό το στάδιο. Όταν η προσομοίωση δείξει ότι το σχέδιο είναι σωστό, τότε κατασκευάζεται ένα πλήρες φυσικό πρωτότυπο του αντικειμένου. Το πρωτότυπο αυτό ελέγχεται εξονυχιστικά για να επιβεβαιωθεί ότι βρίσκεται σε συμφωνία με τις προδιαγραφές. Όλα τα σφάλματα που διαπιστώνονται κατά τον έλεγχο πρέπει να διορθωθούν. Τα σφάλματα αυτά ενδέχεται να είναι δευτερεύοντα και συχνά μπορούν να διορθωθούν επεμβαίνοντας άμεσα στο πρωτότυπο του προϊόντος. Στην περίπτωση σημαντικών σφαλμάτων είναι αναγκαία η σχεδίαση του προϊόντος εκ νέου και η επανάληψη των παραπάνω βημάτων. Όταν το πρωτότυπο περάσει όλους τους ελέγχους, τότε το προϊόν χαρακτηρίζεται ως ορθά σχεδιασμένο και μπορεί να οδηγηθεί στο τμήμα παραγωγής. Η προηγούμενη περιγραφή της διαδικασίας ανάπτυξης σχετίζεται εν γένει με τη σχεδίαση ψηφιακών συστημάτων. Τα βήματα που παρουσιάζονται στο Σχήμα 1.1 υιοθετούνται πλήρως στη διαδικασία ανάπτυξης ψηφιακών συστημάτων. Προτού συζητήσουμε την πλήρη ακολουθία βημάτων σε αυτό το περιβάλλον σχεδίασης, πρέπει να τονίσουμε ότι η διαδικασία σχεδίασης είναι μία διαδικασία αλληλεπίδρασης. Οποιαδήποτε διαδικασία σχεδίασης αποτελείται από μία βασική ακολουθία ενεργειών που εκτελούνται σε διάφορες περιπτώσεις. Η ακολουθία αυτή παρουσιάζεται στο Σχήμα 1.2. θεωρώντας ότι έχουμε μία αρχική ιδέα για το αποτέλεσμα που θα πρέπει να έχει η διαδικασία σχεδίασης, το πρώτο βήμα είναι να δημιουργήσουμε ένα αρχικό σχέδιο. Το βήμα αυτό απαιτεί συχνά πολλή προσωπική προσπάθεια, επειδή τα περισσότερα σχέδια εκπληρούν κάποιους ειδικούς στόχους, οι οποίοι μπορούν να επιτευχθούν μόνο μέσω της γνώσης, ικανότητας και διαίσθησης του σχεδιαστή. Το επόμενο βήμα είναι η προσομοίωση του σχεδίου που υπάρχει ανά χείρας. Για την εκπλήρωση αυτού του βήματος διατίθενται σήμερα εξαίρετα εργαλεία σχεδίασης CAD. Για να είναι δυνατή η επιτυχής εκτέλεση της προσομοίωσης, θα πρέπει να διαθέτουμε αρκετές συνθήκες εισόδου ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 8

9 Ζητούμενο προιόν Καθορισμός προδιαγραφών Αρχική σχεδίαση Προσομοίωση Σχεδίαση εκ νέου Ορθή σχεδίαση; Οχι Ναι Υλοποίηση πρωτοτύπου Εκτέλεση διορθώσεων Ναι Έλεγχος Δευτερέυοντα σφάλματα Οχι Πληροί τις προδιαγραφές; Οχι Ναι Τελικό προιόν Σχήμα 1.1 (input conditions) για το προϊόν, οι οποίες να είναι δυνατό να εφαρμοστούν στο υπό προσομοίωση σχέδιο και στη συνέχεια στο τελικό προϊόν, όταν θα πρέπει να ελεγχθεί. Η εφαρμογή αυτών των συνθηκών εισόδου αναγκάζει το πρόγραμμα προσομοίωσης να εξετάσει εάν το προϊόν θα λειτουργήσει σύμφωνα με τις προδιαγραφές υπό αυτές τις συνθήκες εισόδου. Εάν η προσομοίωση αποκαλύψει κάποια προβλήματα, τότε η σχεδίαση θα πρέπει να τροποποιηθεί ώστε να ξεπεραστούν αυτά. Η νέα έκδοση του σχεδίου προσομοιώνεται εκ νέου για να διαπιστωθεί εάν τα προβλήματα αυτά πλέον εκλείπουν. Ο βρόχος επαναλαμβάνεται έως ότου η προσομοίωση δείξει ότι το σχέδιο του προϊόντος είναι επιτυχές. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 9

10 Ένας φρόνιμος σχεδιαστής αφιερώνει σημαντική προσπάθεια στην εξάλειψη των σφαλμάτων της σχεδίασης κατά τη διάρκεια της προσομοίωσης, επειδή εν γένει είναι πολύ δυσκολότερη η διόρθωση τους σε κάποιο επόμενο στάδιο της σχεδιαστικής διαδικασίας. Σχεδιαστική ιδέα Αρχικό σχέδιο Προσομοίωση Σχεδίαση εκ νέου Ορθή σχεδίαση; Οχι Ναι Επιτυχής σχεδίαση Σχήμα 1.2 Ο βασικός βρόχος σχεδίασης Ακόμη και τότε, τα σφάλματα μπορεί να μην ανιχνευθούν κατά τη διάρκεια της προσομοίωσης, οπότε θα πρέπει αναγκαστικά να διορθωθούν σε κάποιο επόμενο στάδιο της διαδικασίας σχεδίασης. 1.6 Σχεδίαση μιας Μονάδας Ψηφιακού Συστήματος Τα προϊόντα των ψηφιακών συστημάτων εν γένει περιλαμβάνουν μία ή περισσότερες πλακέτες (PCB), που περιέχουν διάφορα ολοκληρωμένα κυκλώματα και άλλα εξαρτήματα. Η ανάπτυξη τέτοιων προϊόντων ξεκινά με τον ορισμό της γενικής δομής. Στη συνέχεια επιλέγονται τα κατάλληλα ολοκληρωμένα κυκλώματα και σχεδιάζονται οι πλακέτες που στηρίζουν και συνδέουν τα ολοκληρωμένα κυκλώματα. Εάν τα κυκλώματα αυτά περιλαμβάνουν PLDs ή ειδικά ολοκληρωμένα κυκλώματα, τα κυκλώματα αυτά πρέπει να σχεδιαστούν προτού σχεδιαστεί η πλακέτα (PCB). Με δεδομένο ότι η πολυπλοκότητα των κυκλωμάτων που υπάρχουν στο κάθε ολοκληρωμένο κύκλωμα και τις πλακέτες είναι πολύ υψηλή, είναι σημαντική η αποδοτική χρήση των εργαλείων σχεδίασης CAD.Ένα παράδειγμα πλακέτας (PCB) παρουσιάζεται στο Σχήμα 1.3. Αυτή η πλακέτα αποτελεί τμήμα ενός μεγάλου υπολογιστικού συστήματος που σχεδιάστηκε στο Πανεπιστήμιο του Τορόντο. Ο υπολογιστής αυτός, που ονομάζεται NUMAchine [4,5] είναι ένας πολλαπλός επεξεργαστής (multiprocessor)., δηλαδή περιέχει πολλούς επεξεργαστές ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 10

11 συνδεδεμένους μεταξύ τους, οι οποίοι μπορούν να χρησιμοποιηθούν ως σύνολο για την εκτέλεση κάποιας εργασίας. Η πλακέτα του σχήματος περιέχει ένα ολοκληρωμένο κύκλωμα επεξεργαστή και διάφορα ολοκληρωμένα κυκλώματα μνήμης και υποστήριξης. Απαιτούνται περίπλοκα λογικά κυκλώματα για την πραγματοποίηση της διασύνδεσης ανάμεσα στον επεξεργαστή και το υπόλοιπο σύστημα. Για την υλοποίηση αυτών των λογικών κυκλωμάτων χρησιμοποιείται ένας αριθμός. Για να επιδείξουμε τον πλήρη κύκλο ανάπτυξης με περισσότερη λεπτομέρεια, θα θεωρήσουμε τα βήματα που απαιτούνται για τη δημιουργία της ψηφιακής μονάδας που μπορεί να υλοποιηθεί επάνω σε μία πλακέτα (PCB). Αυτή η μονάδα μπορεί να θεωρηθεί ως ένα ιδιαίτερα περίπλοκο λογικό κύκλωμα, το οποίο εκτελεί τις λειτουργίες που καθορίζονται από τις προδιαγραφές του. Στο Σχήμα 1.4 παρουσιάζεται η ροή της σχεδίασης, θεωρώντας ότι έχουμε μία σχεδιαστική ιδέα, που καθορίζει την επιθυμητή συμπεριφορά του συστήματος και τα χαρακτηριστικά αυτού του μεγάλου κυκλώματος. Σχήμα 1.3 Μία πλακέτα τυπωμένου κυκλώματος (PCB) Ένας οργανωμένος τρόπος αντιμετώπισης της περιπλοκότητας που υπεισέρχεται στην όλη σχεδίαση είναι ο επιμερισμός του κυκλώματος σε μικρότερες βαθμίδες και η σχεδίαση της κάθε βαθμίδας ξεχωριστά. Η κατάτμηση μίας συνολικής εργασίας σε επιμέρους, ευκολότερα ελεγχόμενα τμήματα, είναι γνωστή ως προσέγγιση διαίρει και βασίλευε. Η σχεδίαση της κάθε βαθμίδας ακολουθεί τη διαδικασία που περιγράφεται στο Σχήμα 1.2. Καθορίζονται τα κυκλώματα της κάθε βαθμίδας και επιλέγονται τα ολοκληρωμένα κυκλώματα που απαιτούνται για την υλοποίηση. Η λειτουργία των κυκλωμάτων προσομοιώνεται και εκτελούνται όλες οι απαραίτητες διορθώσεις. Έχοντας σχεδιάσει με επιτυχία όλες τις βαθμίδες, πρέπει στη συνέχεια να καθορίσουμε τη διασύνδεση τους, δηλαδή τη συνένωση αυτών σε ένα ενιαίο κύκλωμα. Τώρα είναι αναγκαία η προσομοίωση του συνολικού κυκλώματος και η διόρθωση των σφαλμάτων που θα εντοπιστούν. Ανάλογα με τα σφάλματα που θα προκύψουν, ενδέχεται να είναι αναγκαία η επιστροφή σε προηγούμενα στάδια, όπως σημειώνεται από τις διαδρομές Α, Β και C στο διάγραμμα ροής. Μερικά σφάλματα μπορεί να προκαλούνται από ατελείς συνδέσεις ανάμεσα στις βαθμίδες και τότε θα πρέπει αυτές οι συνδέσεις να οριστούν εκ νέου, μέσω της διαδρομής C. Μερικές βαθμίδες ενδέχεται να μην έχουν σχεδιαστεί σωστά, οπότε θα πρέπει να τις σχεδιάσουμε εκ νέου ακολουθώντας τη διαδρομή Β. Μία άλλη πιθανότητα είναι ότι η αρχική ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 11

12 κατάτμηση του συνολικού κυκλώματος σε επιμέρους βαθμίδες ήταν ανεπιτυχής, οπότε θα πρέπει να ακολουθήσουμε τη διαδρομή Α. Αυτό μπορεί να συμβεί, για παράδειγμα, εάν υπάρχει μία λειτουργία που προβλέπεται στο τελικό κύκλωμα, η οποία δεν εκτελείται από καμία βαθμίδα. Η επιτυχής ολοκλήρωση της λειτουργίας της προσομοίωσης δείχνει ότι το κύκλωμα που σχεδιάστηκε θα εκτελεί όλες τις προβλεπόμενες λειτουργίες. Το επόμενο στάδιο είναι ο τρόπος με τον οποίο θα υλοποιηθεί αυτό το κύκλωμα επάνω σε μία πλακέτα (PCB). Πρέπει να προσδιοριστεί η φυσική τοποθέτηση του κάθε ολοκληρωμένου κυκλώματος επάνω στην πλακέτα και πρέπει να καθοριστεί η μορφή των απαιτούμενων αγώγιμων συνδέσεων, ώστε τα ολοκληρωμένα κυκλώματα να συνδέονται μεταξύ τους σωστά. Αυτό το στάδιο ονομάζεται φυσική σχεδίαση (physical design) της πλακέτας. Για την αυτόματη εκτέλεση αυτής της διαδικασίας στηριζόμαστε ιδιαίτερα στα προγράμματα σχεδίασης CAD. Εφόσον αποφασιστεί η χωροθέτηση των ολοκληρωμένων κυκλωμάτων επάνω στην πλακέτα και η μορφή των αγώγιμων συνδέσεων, είναι επιθυμητή η διερεύνηση της επίδρασης της φυσικής αυτής τοποθέτησης στη συνολική συμπεριφορά του πραγματικού κυκλώματος. Είναι εύλογο να θεωρήσουμε ότι, εφόσον η προσομοίωση λειτουργίας που έχει εκτελεστεί ως αυτό το σημείο δείχνει ότι δεν υπάρχουν σφάλματα, τότε το πρόγραμμα CAD που χρησιμοποιήθηκε για την τοποθέτηση των εξαρτημάτων στην πλακέτα και τη σύνδεση τους δε θα αλλοιώνει τη συμπεριφορά του κυκλώματος. Εντούτοις, παρότι η προσομοίωση λειτουργίας δείχνει ότι όλα λειτουργούν σωστά, το συνολικό πραγματικό κύκλωμα ενδέχεται να λειτουργεί πιο αργά από το αναμενόμενο και να επιδεικνύει χαμηλότερες επιδόσεις. Το αποτέλεσμα αυτό προκαλείται λόγω της ύπαρξης πραγματικών αγώγιμων συνδέσεων επάνω στην πλακέτα (PCB), οι οποίες εμφανίζουν αντίσταση και χωρητικότητα όταν διαρρέονται από ηλεκτρικά σήματα και έτσι ενδέχεται να επηρεάζουν την ταχύτητα λειτουργίας. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 12

13 Σχεδιαστική ιδέα A Επιμερισμός σχεδίασης B Σχεδίαση μίας βαθμίδας Σχεδίαση μίας βαθμίδας C Προσδιορισμός της διασύνδεσης ανάμεσα στις βαθμίδες Προσομοίωση λειτουργείας του συνολικού συστήματος Ναι Ορθή ; Οχι D Φυσική χωροθέτηση Προσομοίωση χρονισμού Ορθή ; Οχι Ναι Υλοποίηση Σχήμα 1.4 Διάγραμμα ροής της σχεδίασης λογικών κυκλωμάτω Για να διακρίνουμε τη διαδικασία προσομοίωσης της λειτουργίας του κυκλώματος από τη διαδικασία προσομοίωσης που αναφέρεται στην ταχύτητα του, χρησιμοποιούμε τους όρους ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 13

14 προσομοίωση λειτουργίας (functional simulation) και προσομοίωση χρονισμού (timing simulation). Η προσομοίωση χρονισμού ενδέχεται να αποκαλύψει προβλήματα ταχύτητας λειτουργίας, τα οποία στη συνέχεια μπορούν να διορθωθούν με τη βοήθεια των σχεδιαστικών προγραμμάτων CAD και τη διενέργεια αλλαγών στη φυσική μορφή της πλακέτας. Έχοντας ολοκληρώσει τη διαδικασία σχεδίασης, το σχεδιασμένο κύκλωμα είναι έτοιμο για υλοποίηση. Τα βήματα που απαιτούνται για την υλοποίηση μίας πρωτότυπης πλακέτας αναγράφονται στο Σχήμα 1.5. Κατ' αρχήν κατασκευάζεται και ελέγχεται μία πρώτη έκδοση της πλακέτας. Τα περισσότερα δευτερεύοντα σφάλματα που διαπιστώνονται μπορούν να διορθωθούν εκτελώντας άμεσες τροποποιήσεις στην πλακέτα. Αυτές μπορεί να περιλαμβάνουν αλλαγές στις καλωδιώσεις ή πιθανόν επαναπρογραμματισμός κάποιων PLDs. Εάν υπάρχουν μεγαλύτερα προβλήματα, απαιτείται η διενέργεια πιο ουσιαστικών αλλαγών στη σχεδίαση. Ανάλογα με τη φύση των προβλημάτων, ο σχεδιαστής ενδέχεται να πρέπει να επιστρέψει στα σημεία Α, Β, C ή D της διαδικασίας σχεδίασης του Σχήματος 1.4. Έχουμε επιλέξει αυτήν την τεχνολογία επειδή χρησιμοποιείται ευρέως στα πραγματικά προϊόντα του χώρου των ψηφιακών συστημάτων και επειδή τα ολοκληρωμένα κυκλώματα προγραμματίζονται από το χρήστη. Η τεχνολογία PLD ενδείκνυται ιδιαίτερα για εκπαιδευτικούς σκοπούς, επειδή πολλοί αναγνώστες έχουν πρόσβαση σε λογισμικό προγραμματισμού διατάξεων PLDs, με αποτέλεσμα οι αναγνώστες να μπορούν να υλοποιούν τα παραδείγματα κυκλωμάτων. Για να καταδείξουμε πρακτικά ζητήματα σχεδίασης, χρησιμοποιούμε στο εργαστήριο δύο είδη PLDs, που είναι τα δύο είδη που χρησιμοποιούνται ευρέως σήμερα. Το ένα είδος είναι γνωστό ως περίπλοκες διατάξεις προγραμματιζόμενης λογικής (complex PLDs CPLDs) και το άλλο ως διατάξεις πυλών προγραμματιζόμενου πεδίου (FPGAs). Αυτά τα ολοκληρωμένα κυκλώματα περιγράφονται στα επόμενα κεφάλαια, θα δείξουμε τον αυτοματοποιημένο τρόπο σχεδίασης λογικών κυκλωμάτων χρησιμοποιώντας ένα ειδικό σύστημα σχεδίασης CAD της εταιρείας Altera, η οποία είναι μία πρωτοπόρος εταιρεία κατασκευής διατάξεων PLD σε παγκόσμιο επίπεδο. Το σύστημα ονομάζεται ΜΑΧ+ρΙus ΙΙ. Το σύστημα αυτό είναι λογισμικό βιομηχανικής ποιότητας και υποστηρίζει όλες τις φάσεις του κύκλου σχεδίασης, έχει μεγάλες δυνατότητες και χρησιμοποιείται εύκολα. Το λογισμικό εγκαθίσταται εύκολα σε οποιοδήποτε κατάλληλο προσωπικό υπολογιστή και οι σημειώσεις περιέχουν ένα πλήρες σύνολο μαθημάτων, όπου διδάσκεται βήμα προς βήμα η χρήση των εργαλείων σχεδίασης CAD που περιγράφονται εδώ με όλους τους τρόπους εισαγωγείς. Για εκπαιδευτικούς λόγους η εταιρεία Αltera παρέχει μία πλακέτα (PCB) για ανάπτυξη εργαστηριακών κυκλωμάτων, η οποία ονομάζεται πλακέτα UP-1.Περιέχει ένα CPLD και ένα FPGA, καθώς και διασυνδέσεις για τη σύνδεση της πλακέτας με έναν προσωπικό υπολογιστή. Τα λογικά κυκλώματα μπορούν να σχεδιαστούν με τη βοήθεια του λογισμικού ΜΑΧ+plus ΙΙ και στη συνέχεια να φορτωθούν στα PLDs, με αποτέλεσμα να υλοποιήσουν το κύκλωμα που έχει σχεδιαστεί. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 14

15 Υλοποίηση Κατασκευή πρωτοτύπου Έλεγχος Τροποποίηση πρωτοτύπου Ορθός ; Ναι Οχι Ναι Δευτερεύοντα σφάλματα ; Οχι Ολοκληρωμένη πλακέτα (PCB) Μετάβαση στα σημεία Α, B,C ή D του Σχήματος 1.6 Σχήμα 1.5 Ολοκλήρωση της ανάπτυξης μίας πλακέτας (PCB) ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 15

16 2.1 ΕΡΓΑΣΤΗΡΙΑΚΟ ΜΕΡΟΣ 2.2 Εισαγωγή 2. ΚΕΦΑΛΑΙΟ ΔΕΥΤΕΡΟ Το λογισμικό Max+pIusII της AItera είναι ένα από τα πιω εύκολα και ειδικευμένα προγράμματα σχεδίασης μέσω υπολογιστή (computer-aided design, CAD). Στην άσκηση αυτή περιγράφεται ο τρόπος με τον οποίο μπορούν να σχεδιασθούν λογικά κυκλώματα χρησιμοποιώντας το πρόγραμμα αυτό. Η σχεδίαση μπορεί να επιτευχθεί με τέσσερις μεθόδους: α) Με τη βοήθεια της γλώσσας VHDL, β) Με τη βοήθεια σχηματικών διαγραμμάτων, γ) Με τη βοήθεια του πίνακα αλήθειας του κυκλώματος και δ) Με τον σχεδιασμό μεθόδων εισαγωγής σχεδίασης 2.3 Έναρξη Κάθε λογικό κύκλωμα ή υποκύκλωμα που σχεδιάζεται με το λογισμικό Max+plusll της Altera ονομάζεται έργο (project). Το λογισμικό εργάζεται σε ένα έργο κάθε φορά και κρατάει όλες τις πληροφορίες του έργου σε ένα φάκελο του συστήματος αρχείων. Για να ξεκινήσει η σχεδίαση ενός λογικού κυκλώματος δημιουργούμε έναν φάκελο, στον οποίον τοποθετούμε τα αρχεία του κυκλώματος. Αφού δημιουργήσουμε το φάκελο, ξεκινούμε τη διαδικασία εκτέλεσης του λογισμικού Max+plusll. Στην αρχή εμφανίζεται ένα παράθυρο το οποίο ονομάζεται Max+plusll Manager ή παράθυρο διαχείρισης και το οποίο μας παρέχει πρόσβαση σε όλες τις δυνατότητες του προγράμματος, επιλέγοντας ο χρήστης με το ποντίκι αυτήν την οποία επιθυμεί κάθε φορά. Σχήμα 2.1 Παράθυρο διαχείρισης Max+plusII ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 16

17 Το λογισμικό Max+plusII περιλαμβάνει 11 κύρια τμήματα λογισμικού, τα οποία ονομάζονται εφαρμογές (αpplicαtions). Αυτά μπορούν να προσπελαστούν είτε μέσα από το μενού διαχείρισης, είτε μέσα από μικρά εικονίδια (icons). Οι εφαρμογές αυτές είναι οι εξής: 1. Hierαtchy Displαy (Ενδείκτης Ιεραρχίας) 2. Grαphic Editor (Επεξεργαστής Γραφικών Παραστάσεων) 3. Symbol Editor (Επεξεργαστής Συμβόλων) 4. Text Editor (Επεξεργαστής Κειμένου) 5. Wαveform Editor (Επεξεργαστής Κυματομορφών) 6. Floorplαn Editor (Επεξεργαστής Κατόψεων) 7. Compiler (Μεταφραστής) 8. Simulαtor (Προσομοιωτής) 9. Timing Anαlyzer (Αναλυτής Χρονισμού) 10. Progrαmmer (Προγραμματιστής) 11. Μessαge Processor (επεξεργαστής Μηνυμάτων) Σχήμα 2.2 Μενού Max+plusII του παραθύρου διαχείρισης 2.4 Ηλεκτρονική Βοήθεια του λογισμικού Max+pIusll Ο χρήστης μπορεί να δει για θέματα για τα οποία προσφέρεται βοήθεια μέσα από το μενού βοήθειας Help / Search for Help on. Εάν επιθυμεί τη βοήθεια για κάποιο συγκεκριμένο θέμα, τότε τα κατάλληλα κείμενα μπορούν να βρεθούν με δύο τρόπους: 1) Πατώντας το πλήκτρο Fl, εμφανίζεται ένα παράθυρο το οποίο περιέχει όλες τις εντολές που είναι κατάλληλες για την συγκεκριμένη εφαρμογή και 2) Όταν χρησιμοποιούμε το εργαλείο σχηματικών διαγραμμάτων (schematic cαpture tool), πατώντας ταυτόχρονα το πλήκτρο Fl και το πλήκτρο Shift, ο Ενδείκτης του ποντικιού θα καταστεί Ενδείκτης βοήθειας (help pointer), ο οποίος όταν δείχνει σε ένα στοιχείο τότε θα εμφανιστούν αυτόματα όλες οι πληροφορίες που αφορούν το στοιχείο αυτό. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 17

18 ΤΡΟΠΟΙ ΕΙΣΑΓΩΓΗΣ 3. 1 ος ΤΡΟΠΟΣ ΕΙΣΑΓΩΓΗΣ 3.1 ΕΙΣΑΓΩΓΗ ΣΧΕΔΙΟΥ ΧΡΗΣΙΜΟΠΟΙΩΝΤΑΣ ΤΗ ΓΛΩΣΣΑ VHDL 3.2 Λίγα λόγια για την γλώσσα Για να δείξουμε πώς γράφεται ένα πρόγραμμα στη γλώσσα VHDL. θα χρησιμοποιήσουμε ένα παράδειγμα. Ας θεωρήσουμε το λογικό κύκλωμα του Σχήματος 3.1. Εάν επιθυμούμε να γράψουμε ένα πρόγραμμα (δηλαδή πηγαίο κώδικα) στη γλώσσα VHDL για να το περιγράψουμε, το πρώτο βήμα είναι να δηλώσουμε τα σήματα εισόδου και εξόδου. Αυτό γίνεται χρησιμοποιώντας μία ανάπτυξη που ονομάζεται οντότητα (entity). Μία κατάλληλη οντότητα για το κύκλωμα του Σχήματος 3.1 παρουσιάζεται στο Σχήμα 3.2. Μία οντότητα πρέπει να διαθέτει ένα όνομα. Στο παράδειγμα αυτό έχουμε επιλέξει το όνομα example. Τα σήματα εισόδου και εξόδου αυτής της οντότητας ονομάζονται θύρες (ports} της οντότητας και ορίζονται από τη λέξη-κλειδί ΡΟRΤ. Το όνομα αυτό λήφθηκε από την τεχνική ορολογία στο αντικείμενο της ηλεκτρονικής, όπου η λέξη θύρα δεικνύει μία σύνδεση εισόδου ή εξόδου δεδομένων σε κάποιο ηλεκτρονικό κύκλωμα. Κάθε θύρα έχει έναν τρόπο λειτουργίας (mode), ο οποίος ορίζει εάν η θύρα είναι θύρα εισόδου (input, ΙΝ) ή εξόδου (output,out) της οντότητας. Κάθε θύρα αντιπροσωπεύει ένα σήμα και έτσι έχει έναν ανάλογο τύπο. Η οντότητα examplel διαθέτει τέσσερις θύρες συνολικά. Οι πρώτες τρεις, x1, χ 2 και χ 3, αποτελούν τα σήματα εισόδου που έχουν τον τύπο ΒΙΤ. Η Θύρα που ονομάζεται f αποτελεί μία έξοδο τύπου ΒΙΤ. Στο Σχήμα 3.2 χρησιμοποιούμε τις απλές ονομασίες χ 1, χ 2, Χ 3 για να δηλώσουμε τις θύρες της οντότητας. Όμοια με τις περισσότερες γλώσσες προγραμματισμού υπολογιστών, η γλώσσα VHDL έχει κανόνες που ορίζουν ποιοι χαρακτήρες μπορούν να χρησιμοποιηθούν σε ονόματα σημάτων. Μία απλή κατευθυντήρια οδηγία είναι ότι τα ονόματα σημάτων μπορούν να περιέχουν οποιοδήποτε γράμμα ή αριθμό, καθώς και την παύλα υπογράμμισης "_". Υπάρχουν δύο περιορισμοί: όλα τα ονόματα σημάτων πρέπει να ξεκινούν από γράμμα και δεν πρέπει να χρησιμοποιούνται λέξεις-κλειδιά της γλώσσας VHDL. Μία οντότητα ορίζει τα σήματα εισόδου και εξόδου ενός κυκλώματος, αλλά δεν δίνει πληροφορίες που να εξηγούν τι παριστάνει το κύκλωμα. Η λειτουργία του κυκλώματος πρέπει να περιγραφεί με μία ανάπτυξη της γλώσσας VHDL που ονομάζεται αρχιτεκτονική (architecture). Η αρχιτεκτονική του παραδείγματος μας παρουσιάζεται στο Σχήμα 3.3. Πρέπει να διαθέτει ένα όνομα και έχουμε επιλέξει το όνομα Logic Func. Παρότι το όνομα μπορεί να είναι οποιαδήποτε ακολουθία χαρακτήρων, είναι λογικό να δώσουμε ένα όνομα που να σημαίνει κάτι σχετικό. Έτσι έχουμε επιλέξει το όνομα Logic Func, επειδή η αρχιτεκτονική ορίζει τη λειτουργικότητα ενός σχεδίου με τη βοήθεια μιας λογικής έκφρασης. Σχήμα 3.1 Μία απλή λογική συνάρτηση ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 18

19 ΕΝΤΙΤΥ example ΙS ΡΟRΤ(χ1,χ2, χ3 : ΙΝ F :OUT BIT); ΕΝD example; ΒΙΤ; Σχήμα 3.2 Δήλωση οντοτήτων VHDL. για το κύκλωμα του Σχήματος 3.1 ARCHITECTURE LogicFunc Of example IS. BEGIN f <= (χ1 ΑΝD χ2) ΟR (ΝΟΤ χ2 ΑΝD χ3) ; ΕΝD Logic Func; Σχήμα 3.3 Αρχιτεκτονική στη γλώσσα VHDL γα την οντότητα του Σχήματος 3.2 Η γλώσσα VHDL έχει ενσωματωμένη υποστήριξη των ακόλουθων τελεστών της άλγεβρας Βoole: ΑΝD, ΟR, ΝΟΤ, ΝΑΝD, ΝΟR, ΧΟR και ΧΝΟR (μέχρι τώρα έχουμε αναφέρει μόνο τους τελεστές ΑΝD, ΟR. και ΝΟΤ, αλλά οι υπόλοιποι θα αναφερθούν σε άλλο κεφαλαιο). Μετά από τη λέξη-κλειδί ΒΕGΙΝ, η αρχιτεκτονική μας ορίζει με τη βοήθεια του τελεστή αντιστοίχησης σημάτων "<=" της γλώσσας VHDL ότι η έξοδος θα πρέπει να λάβει το αποτέλεσμα της λογικής πράξης που υπάρχει στο δεξιό μέλος του τελεστή. Επειδή η γλώσσα VHDL. δεν προϋποθέτει οποιαδήποτε προτεραιότητα λογικών τελεστών, στην έκφραση που θα γράψουμε πρέπει να υπάρχουν παρενθέσεις. Μπορεί να υποθέσουμε ότι μία εντολή αντιστοίχησης, όπως η: f<=χ 1 ΑΝD x2 ΟR ΝΟΤ x2 AND x3 υπονοεί την ύπαρξη παρενθέσεων ως εξής: f<= (χ1 AΝDx2) ΟR ((ΝΟΤx2) ΑΝDx3) Αλλά στη γλώσσα VHDL δεν μπορούμε να το υποθέσουμε αυτό. Στην πραγματικότητα, εάν δεν αναγράψουμε τις παρενθέσεις, ο μεταφραστής της γλώσσας VHDL θα εμφανίσει στην οθόνη ένα μήνυμα σφάλματος. Ο πλήρης πηγαίος κώδικας για το παράδειγμα μας παρουσιάζεται στο Σχήμα 3.4. Το παράδειγμα αυτό δείχνει ότι ένα αρχείο προγράμματος σε γλώσσα VHDL, διαθέτει δύο κύριους τομείς: μία οντότητα και μία αρχιτεκτονική. Μία απλή αναλογία που δείχνει τι αντιπροσωπεύει ο κάθε τομέας είναι ότι η οντότητα ισοδυναμεί με ένα σύμβολο σε κάποιο σχηματικό διάγραμμα και ότι η αρχιτεκτονική ορίζει το λογικό κύκλωμα που υπάρχει μέσα στο σύμβολο. ΕΝΤΙΤΥ example IS ΡΟRΤ(χ1,χ2, χ3 : ΙΝ ΒΙΤ ; F : ΟUΤ ΒΙΤ ) ; ΕΝD example ; ARCHITECTURE LOGICFunc OF example IS ΒΕGΙΝ f <= ( χ 1 ΑΝD χ2) ΟR (ΝΟΤ χ2 ΑΝD χ3) ; ΕΝD LogicFunc; Σχήμα 3. 4 Πλήρης κώδικας στη γλώσσα VHDL για το κύκλωμα του Σχήματος 3.1 ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 19

20 Δηλαδή ένα κύκλωμα ή υποκύκλωμα που περιγράφεται με ένα πρόγραμμα της γλώσσας VHDL ονομάζεται οντότητα σχεδίου (design entity), ή απλά οντότητα. Στο Σχήμα 3.5 εικονίζεται η γενική δομή μίας οντότητας. Αυτή αποτελείται από δύο κύρια μέρη: τη δήλωση οντότητας (entity declaration), η οποία καθορίζει τα σήματα εισόδου και εξόδου, και την αρχιτεκτονική (architecture), η οποία δίδει τις λεπτομέρειες του κυκλώματος. Οντότητα Δήλωση οντότητας Αρχιτεκτονική Σχήμα 3.5 Γενική δομή μίας οντότητας σχεδίου της γλώσσας VHDL 3.3 Εισαγωγή Σχεδίου χρησιμοποιώντας τη γλώσσα VHDL Ως πρώτο βήμα θα ορίσουμε το όνομα του έργου σχεδίασης που θα εκτελέσουμε. Στο παράθυρο διαχείρισης επιλέγουμε File / Project / Name για να ανοίξουμε το παράθυρο. Είναι αναγκαίο να ορίσουμε τη θέση του φακέλου όπου το λογισμικό MAX+plusII θα αποθηκεύει τα αρχεία που θα δημιουργηθούν για το έργο. Π.Χ c:\ max2work\ example. Το λογισμικό MAX+plusII διαθέτει έναν επεξεργαστή κειμένων (text editor) ο οποίος μπορεί να χρησιμοποιηθεί για την γραφή προγράμματος σε γλώσσα VHDL. Ανοίγουμε το παράθυρο του Επεξεργαστή Κειμένων με την επιλογή MAX+plusII / Text Editor. Το πρώτο βήμα είναι να καθορίσουμε ένα όνομα για το αρχείο που θα δημιουργηθεί. Με την επιλογή File Save As σώζουμε το αρχείο. Πληκτρολογούμε example.vhd στο ορθογώνιο που επιγράφεται File Name. Πρέπει να χρησιμοποιήσουμε ακριβώς αυτό το όνομα ώστε να ταυτίζεται με το όνομα του έργου. Η προέκταση.vhd πρέπει να χρησιμοποιείται για όλα τα προγράμματα στη γλώσσα VHDL.Με την επιλογή File Save as ο Επεξεργαστής Κειμένων τοποθετεί το αθετημένο όνομα example.tdf στο ορθογώνιο με όνομα File Name. Η προέκταση tdf σημαίνει text design file και χρησιμοποιείται για αρχεία που περιέχουν πρόγραμμα που έχει γραφεί σε Altera Hardware Description Language (AHDL), η οποία είναι μια άλλη γλώσσα που υποστηρίζεται από το σύστημα σχεδίασης MAX+plusII.Πρέπει να αλλάξει λοιπόν η προέκταση του ονόματος από tdf σε vhd. Είναι δυνατόν να χρησιμοποιήσουμε οποιονδήποτε επεξεργαστή κειμένων για να δημιουργήσουμε το αρχείο example.vhd, αρκεί ο επεξεργαστής αυτός να μπορεί να δημιουργήσει ένα αρχείο απλού κειμένου (δηλαδή ένα αρχείο ASCII). Το πρόγραμμα σε γλώσσα VHDL φαίνεται στο Σχήμα 3.4 Πληκτρολογούμε το πρόγραμμα στον Επεξεργαστή Κειμένων και λαμβάνουμε την εικόνα που φαίνεται στο Σχήμα 3.6. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 20

21 Ο Επεξεργαστής Κειμένων μπορεί προαιρετικά να εμφανίζει διαφορετικούς τύπους εντολών της γλώσσας VHDL με διαφορετικά χρώματα. Για να ενεργοποιήσουμε αυτήν την επιλογή ανοίγουμε το μενού Options και τοποθετούμε το σύμβολο επιλογής στο αντικείμενο που ονομάζεται Syntax Coloring. Επίσης ο επεξεργαστής μπορεί αυτόματα να στοιχίσει το κείμενο σε μια νέα γραμμή έτσι ώστε αυτή να ευρίσκεται σε σύμπτωση με την προηγούμενη γραμμή. Για να ενεργοποιήσουμε αυτήν την επιλογή τοποθετούμε το σύμβολο επιλογής μπροστά από την επιλογή Options Auto-indent. Αποθηκεύουμε το αρχείο. Σχήμα 3.6 Ο επεξεργαστής κειμένου με το πρόγραμμα VHDL 3.4 Χρήση του μεταφραστή (Compiler) Για ανοίξουμε το παράθυρο του μεταφραστή επιλέγουμε με το ποντίκι μας MAX+plusII / Compiler. Για να χρησιμοποιήσει ο μεταφραστής τα απαραίτητα εργαλεία απενεργοποιούμε την επιλογή Processing Functional SNF Extractor. Το παράθυρο Μεταφραστή θα πρέπει να εμφανιστεί με τον τρόπο που φαίνεται στο Σχήμα 3.7 Σχήμα 3.7 Το παράθυρο του Μεταφραστή Με την επιλογή του compiler εμφανίζονται στην οθόνη τα εξής εργαλεία σύνθεσεις: 1ΕξαγωγέαςΚυκλώματος Μεταφραστή Compiler Netlist Extractor 2 Κατασκευαστής Βάσης Δεδομένων Database Builder ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 21

22 3 Λογικός Συνθετητής Logic Synthesizer εκτελεί λογική σύνθεση 4 Προσαρμοστής Fitter εκτελεί τη φυσική χαρτογράφηση 5 Προσομοίωσης Χρονισμού Timing SNF Extractor δημιουργεί την πληροφορία που δείχνει τις χρονικές καθυστερήσεις. 6 Συναρμολογητής Assembler παράγει την πληροφορία που ορίζει πως πρέπει να ρυθμιστεί το επιλεγμένο ολοκληρωμένο κύκλωμα για να υλοποιηθεί αυτό το κύκλωμα. Πιέζουμε το πλήκτρο του ποντικιού στο κουμπί Start του παραθύρου του Μεταφραστή. Ο μεταφραστής δείχνει την πρόοδο της μετάφρασης με την βοήθεια μιας κόκκινης ράβδου προόδου. Όταν ο μεταφραστής ολοκληρώσει πρέπει να εμφανιστεί ένα παράθυρο το οποίο δείχνει ότι υπάρχουν μηδέν μηνύματα προειδοποίησης (warnings) και μηδέν σφάλματα (errors). Επιλέγουμε ΟΚ στο παράθυρο αυτό για να επιστρέψουμε στο παράθυρο του Μεταφραστή. Εάν ο μεταφραστής δεν εμφανίσει μηδέν μηνύματα και μηδέν σφάλματα αυτό σημαίνει ότι έχει γίνει τουλάχιστον ένα σφάλμα κατά την εισαγωγή του σχηματικού διαγράμματος. Στην περίπτωση αυτή ο μεταφραστής ανοίγει ένα παράθυρο που ονομάζεται Επεξεργαστής Μηνυμάτων (Message Processor) το οποίο εμφανίζει ένα μήνυμα για κάθε προειδοποίηση ή σφάλμα που υπάρχει. 3.5 Εκτέλεση Προσομοίωσης Λειτουργίας Ανοίγουμε το παράθυρο του Επεξεργαστή Κυματομορφών επιλέγοντας MAX+plusII / Waveform Editor. Επιλέγουμε Node / Enter Nodes from SNF για να ανοίξουμε το ορθογώνιο που φαίνεται στο Σχήμα 3.8. Επιλέγουμε το πλήκτρο List στο άνω δεξιό τμήμα του ορθογωνίου για την εμφάνιση των ονομάτων των κόμβων του τρέχοντος έργου στο ορθογώνιο με το όνομα Available Nodes&Groups. Πατούμε το πλήκτρο του ποντικιού στο σύμβολο x3 και τότε αυτό φωτίζεται. Πατούμε το πλήκτρο του ποντικιού στο σύμβολο => και έτσι αντιγράφεται ο κόμβος x3 στο ορθογώνιο Selected Nodes&Groups. Χρησιμοποιούμε την ίδια διαδικασία για να επιλέξουμε το κάθε ένα από τα υπόλοιπα σήματα και να το αντιγράψουμε στο ορθογώνιο Selected Nodes&Groups. Είναι επίσης δυνατό να επιλέξουμε πολλούς κόμβους μαζί ταυτόχρονα μετακινώντας το ποντίκι προς τα επάνω ή κάτω και πιέζοντας διαρκώς το πλήκτρο του μέσα στο ορθογώνιο Available Nodes&Groups Επιλέγουμε File / End Time για να καθορίσουμε το συνολικό χρονικό διάστημα για το οποίο το κύκλωμα θα προσομοιωθεί. Στο ορθογώνιο που ονομάζεται Time γράφουμε την τιμή 160ns για να θέσουμε τον χρόνο προσομοίωσης σε 160 ns. Επιλέγουμε View / Fit in Window έτσι ώστε το συνολικό χρονικό εύρος από 0 έως 160ns να είναι ορατό στην οθόνη του Επεξεργαστή Κυματομορφών. Στο μενού επιλογών (Options) επαληθεύουμε ότι η επιλογή Show Grid (εμφάνιση πλέγματος) είναι σημειωμένη έτσι ώστε ο Επεξεργαστής Κυματομορφών να εμφανίσει λεπτές κατακόρυφες γραμμές. Επιλέγουμε Options / Grid Size και γράφουμε 20ns στο ορθογώνιο με όνομα Grid Size. Χρησιμοποιώντας τον Επεξεργαστή Κυματομορφών θέτουμε τις τιμές στις εισόδους όπως φαίνεται στο Σχήμα Για να εισάγουμε τιμές στις εισόδους Data και RinExt μαρκάρουμε την περιοχή όπως φαίνεται στο Σχήμα 3.10 και επιλέγουμε Edit Overwrite Group Value και στο ορθογώνιο με όνομα Group Value εισάγουμε την επιθυμητή τιμή σε δεκαεξαδική μορφή. Αν θέλουμε η τιμή να φαίνεται σε άλλη μορφή (Binary,octal) επιλέγουμε ένα ή περισσότερα Group με το ποντίκι και στην συνέχεια από το μενού Node Enter Group επιλέγουμε το επιθυμητό αριθμητικό σύστημα. Αποθηκεύουμε τις κυματομορφές με την επιλογή File / Save στο αρχείο example.scf. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 22

23 Σχήμα 3.8 Επιλογή κόμβων για εκτέλεση προσομοίωσης Σχήμα 3.9 Η οθόνη του Επεξεργαστή Κυματομορφών ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 23

24 Σχήμα 3.10 Τοποθέτηση κυματομορφών Σχήμα 3.11 Οι πλήρεις κυματομορφές των εισόδων Για να ανοίξουμε το Παράθυρο Προσομοιωτή (Simulator Window) επιλέγουμε MAX+plusII / Simulator. Το λογισμικό MAX+plusII παρέχει προσομοίωση λειτουργίας και προσομοίωση χρονισμού. Το είδος της προσομοίωσης που χρησιμοποιούμε από την εφαρμογή του. Προσομοιωτή προσδιορίζεται αυτόματα από τις ρυθμίσεις που έχουν γίνει στην εφαρμογή του Μεταφραστή. Αφού τρέξουμε το simulator βλέπουμε την έξοδο του κυκλώματος στο σχήμα 3.12 που μας την έχει σχεδιάσει. Σχέδιο 3.12 Αποτελέσματα προσομοίωσης λειτουργίας ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 24

25 3.6 Υλοποίηση κυκλώματος σε ένα MAX 7000 CPLD (download ) Προτού ξεκινήσουμε το Μεταφραστή είναι αναγκαίο να προσδιορίσουμε ποιο ολοκληρωμένο κύκλωμα θα πρέπει να χρησιμοποιηθεί. Για ανοίξουμε το παράθυρο του Σχήματος 4.13 επιλέγουμε Assign / Device. Στην θέση Device Family επιλέγουμε την διάταξη MAX 7000S. Το γράμμα S στο τέλος του ονόματος αναφέρεται στα μέλη της σειράς MAX 7000 που μπορούν να προγραμματιστούν μέσα σε ένα σύστημα. Τα διαθέσιμα ολοκληρωμένα κυκλώματα της σειράς MAX 7000S αναφέρονται στο ορθογώνιο με όνομα Devices. Ένα διαθέσιμο ολοκληρωμένο κύκλωμα είναι το EPM7128SLC84-7. Εάν αυτό το ολοκληρωμένο κύκλωμα δεν αναφέρεται τότε απενεργοποιούμε την επιλογή Show Only Fastest Speed Grades στο κάτω μέρος. Η απενεργοποίηση αυτής της επιλογής επιτρέπει στο χρήστη να επιλέξει μεταξύ όλων των εκδόσεων διαφορετικής ταχύτητας του συγκεκριμένου ολοκληρωμένου κυκλώματος. Η αποκωδικοποίηση της ονομασίας του ολοκληρωμένου κυκλώματος γίνεται ως εξής: οι χαρακτήρες EPM7 σημαίνουν ότι το ολοκληρωμένο κύκλωμα είναι μέλος της σειράς MAX7000 και ο αριθμός 128 δίδει τον αριθμό των μακροκυψελών που υπάρχουν στο ολοκληρωμένο κύκλωμα. Οι προσδιοριστικοί χαρακτήρες LC84 σημαίνουν ότι το ολοκληρωμένο διατίθεται σε συσκευασία τύπου PLCC με 84 ακροδέκτες. Ο αριθμός 7 δείχνει την κατηγορία ταχύτητας (speed grade). Σχήμα 3.13 Επιλογή διάταξης για την εκτέλεση της υλοποίησης ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 25

26 3.7 Χρήση του Επεξεργαστή Κατόψεων Για να δούμε την κάτοψη του ολοκληρωμένου είναι να χρησιμοποιήσουμε τον Επεξεργαστή Κατόψεων (Floorplan Editor). Ανοίγουμε το παράθυρο του Σχήματος 3.14 με την επιλογή MAX+plusII / Floorplan Editor. Σχήμα 3.14 Απεικόνιση των ακροδεκτών εισόδου με τον Επεξεργαστή Κατόψεων Από εδώ βλέπομε τα σήματα εισόδου εξόδου και σε πια ποδαράκια του ολοκληρωμένου αντιστοιχούν, ώστε να είναι δυνατή η συνδέσεις μας. 3.8 Φόρτωση ενός κυκλώματος σε μια διάταξη Μόλις ένα κύκλωμα συντεθεί για ένα έργο σχεδίου, μπορεί να φορτωθεί στη διάταξη που έχει επιλεγεί. Η φόρτωση (downloading) περιλαμβάνει τον προγραμματισμό των κατάλληλων διακοπτών της συσκευής, ώστε να υλοποιηθεί το επιθυμητό κύκλωμα. Για να δείξουμε τα βήματα που υπεισέρχονται θα περιγράψουμε πώς μπορεί να φορτωθεί ένα κύκλωμα στην πλακέτα ανάπτυξης κυκλωμάτων εργαστηρίου (laboratory development board) της εταιρείας Altera Corp. Η πλακέτα ονομάζεται UP-1 Education Board και περιλαμβάνει ένα CPLD MAX7000 και ένα FPGA FLEX 10Κ. Η πλακέτα UP-1 συνδέεται με τον υπολογιστή με την βοήθεια ενός, μεταξύ δύο τύπων καλωδίου που διατίθενται από την εταιρία Altera. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 26

27 Το καλώδιο ByteBlaster παρέχει μια σύνδεση στην παράλληλη θύρα του υπολογιστή και το καλώδιο BitBlaster παρέχει μια σύνδεση στη σειριακή θύρα του υπολογιστή. Στα επόμενα υποθέτουμε ότι χρησιμοποιείται το καλώδιο ByteBlaster. Η πλακέτα UP-1 περιέχει ένα ολοκληρωμένο κύκλωμα EPM7128SLC84-7. Υπάρχει ένας κονέκτορας, η οποία συνδέει το ολοκληρωμένο αυτό κύκλωμα με το καλώδιο ByteBlaster. Τοποθετούμε το ένα άκρο του καλωδίου στον κονέκτορα και το άλλο άκρο του στην παράλληλη θύρα του υπολογιστή. Διασφαλίζουμε ότι η πλακέτα UP-1 τροφοδοτείται με τάση και ότι η πράσινη δίοδος LED ανάβει. Με την επιλογή MAX+plusII / Programmer. Παρατηρούμε ότι το αρχείο προγραμματισμού για το έργο example που επιλέγεται αυτόματα από τον Προγραμματιστή ονομάζεται example.pof. Για να καθορίσουμε ότι θα χρησιμοποιηθεί το καλώδιο ByteBlaster επιλέγουμε Options / Hardware Setup. \ Σχήμα 3.15 Το παράθυρο του Προγραμματιστή Για να προγραμματίσουμε το ολοκληρωμένο επιλέγουμε Program στο παράθυρο του Προγραμματιστή. Το πρόγραμμα θα φορτώσει αυτόματα το αρχείο example.pof μέσω του καλωδίου ByteBlaster στη διάταξη και στη συνέχεια θα επιβεβαιώσει ότι ο προγραμματισμός έχει γίνει κανονικά. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 27

28 4. 2 ος ΤΡΟΠΟΣ ΕΙΣΑΓΩΓΗΣ 4.1 ΕΙΣΑΓΩΓΗ ΣΧΕΔΙΟΥ ΜΕ ΤΗ ΒΟΗΘΕΙΑ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Στην ενότητα αυτή θα περιγραφεί η διαδικασία χρήσης του Grαphic Editor (Επεξεργαστής Γραφικών Παραστάσεων). Ως παράδειγμα θα σχεδιάσομε το παρακάτω κύκλωμα που έχει τη λογική συνάρτηση f=x1x2+/x2x3. Το διάγραμμα κυκλώματος φαίνονται στο παρακάτω σχήμα 4.1. Σχήμα 4.1 Κύκλωμα προς κατασκευή 4.2 Ορισμός Ονόματος του Έργου Αρχικά ορίζουμε το όνομα του έργου σχεδίασης που θα εκτελέσουμε. Στο παράθυρο διαχείρισης επιλέγουμε File Ι Project Ι Name ορίζουμε τη θέση του φακέλου που θα αποθηκεύσει τα αρχεία μας για το έργο. Έστω ο φάκελος ονομάζεται c:\max2work\tutorial1. Το έργο το ονομάζουμε graphicl. Το όνομα του φακέλου, το όνομα του έργου, καθώς και ο δίσκος προορισμού (που στη συγκεκριμένη περίπτωση είναι ο c επιλέγονται αυθαίρετα). 4.3 Χρήση του Επεξεργαστή Γραφικών Παραστάσεων (Graphic Editor) Το επόμενο βήμα είναι ο σχεδιασμός του σχηματικού διαγράμματος. Για αυτόν τον σκοπό επιλέγουμε Max+plusll / Graphic Editor από το παράθυρο διαχείρισης. Έτσι εμφανίζεται το παράθυρο Επεξεργαστή Γραφικών Παραστάσεων. Παρατηρούμε ότι αυτό το παράθυρο δίνει στον χρήστη κάποιες επιλογές που δεν ήταν διαθέσιμες από την αρχή. Η κάθε μία από τις 11 εφαρμογές παρέχει διαφορετικές επιλογές, ανάλογα με το ποια είναι επιλεγμένη κάθε φορά. 4.4 Ονομασία του σχηματικού διαγράμματος Για την ονομασία του σχηματικού διαγράμματος επιλέγουμε File / Save As. Τότε εμφανίζεται ένα παράθυρο με το όνομα Save As. Στο ορθογώνιο File Name γράφουμε graphicl.gdf. Δεν μπορούμε να δηλώσουμε άλλο όνομα, γιατί το όνομα του σχηματικού διαγράμματος πρέπει να ταυτίζεται με το όνομα του έργου. Η προέκταση.gdf είναι κοινή για όλα τα σχηματικά διαγράμματα. Εφόσον έχουμε εκτελέσει όλες αυτές τις διαδικασίες επιλέγουμε ΟΚ και επιστρέφουμε στον Επεξεργαστή Γραφικών Παραστάσεων. ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 28

29 4.5 Εισαγωγή Συμβόλων Λογικών Πυλών Για να εισάγουμε τα σύμβολα των λογικών πυλών που μας ενδιαφέρουν πρέπει να διατρέξουμε τις αντίστοιχες βιβλιοθήκες που μας παρέχει ο Επεξεργαστής Γραφικών Παραστάσεων. Στο συγκεκριμένο παράδειγμα η κατάλληλη βιβλιοθήκη ονομάζεται Primitives. Για να την εμφανίσουμε είτε πατάμε δύο φορές το αριστερό πλήκτρο του ποντικιού, είτε επιλέγουμε Symbol / Enter Symbol. Τότε εμφανίζεται ένα παράθυρο με το όνομα Enter SymboI. Σε ένα ορθογώνιο που ονομάζεται Symbol Libraries υπάρχει η συγκεκριμένη βιβλιοθήκη με το όνομα prim. Την επιλέγουμε και εμφανίζεται μια λίστα με λογικές πύλες στο ορθογώνιο Symbol Files. Επιλέγουμε την πύλη που μας ενδιαφέρει (and2) και εμφανίζεται στο παράθυρο του Επεξεργαστή Γραφικών Παραστάσεων. Σχήμα 4.2 Εισαγωγή πυλών Για να εισάγουμε και τις υπόλοιπες πύλες από τις οποίες αποτελείται το κύκλωμά μας επαναλαμβάνουμε την ίδια διαδικασία, επιλέγοντας την κατάλληλη πύλη κάθε φορά. Σε περίπτωση που θέλουμε την ίδια πύλη για περισσότερες από μία φορές, μπορούμε να δημιουργήσουμε αντίγραφα της πύλης από την αρχική ως εξής: Τοποθετούμε το βέλος του ποντικιού στην πύλη από την οποία θέλουμε να δημιουργηθεί το αντίγραφο, κάνουμε δεξί click και επιλέγουμε την εντολή Copy. Στη συνέχεια τοποθετούμε το βέλος του ποντικιού σε μια άλλη περιοχή του Επεξεργαστή Γραφικών Παραστάσεων, κάνουμε δεξί click και επιλέγουμε την εντολή Paste. Με αυτόν τον τρόπο δημιουργείται το αντίγραφο της πύλης που επιθυμούμε. Για να βοηθηθούμε στην τοποθέτηση των συμβόλων αυτών μπορούμε να εμφανίσουμε ένα πλέγμα από βοηθητικές γραμμές. Αυτό επιτυγχάνεται με τη βοήθεια των εντολών Options / Show Guidelines. Αν επιθυμούμε να ορίσουμε την απόσταση αυτών των γραμμών τότε επιλέγουμε Options / Guideline Spacing και εισάγουμε όποιον αριθμό επιθυμούμε για την απόσταση των γραμμών στον οριζόντιο και κάθετο άξονα. Στο διάγραμμα του κυκλώματος η πύλη ΝΟΤ "κοιτάει" προς τα κάτω. Για να πετύχουμε κάτι τέτοιο, στο παράθυρο του Επεξεργαστή Γραφικών Παραστάσεων επιλέγουμε το σύμβολο της ΕΡΓΑΣΤΗΡΙΟ ΑΥΤΟΜΑΤΗΣ ΣΧΕΔΙΑΣΗΣ VHDL Σελ: 29

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Η γλώσσα περιγραφής υλικού (harware description language) VHDL είναι μια γλώσσα με την οποία μπορεί

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ Σκοπός της δεύτερης άσκησης είναι αφενός η επανάληψη απαραίτητων γνώσεων από την ύλη του προηγούμενου εξαμήνου και αφετέρου η άμεση εισαγωγή στην υλοποίηση

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ 130 : Ψηφιακή σχεδίαση Βόλος 2015 1 Εισαγωγή Το Multisim είναι ένα ολοκληρωμένο περιβάλλον προσομοίωσης της συμπεριφοράς

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2007 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΕΡΓΑΣΤΗΡΙΑ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα 1. Στόχοι 3

Διαβάστε περισσότερα

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Εισαγωγή στη VHDL Υλοποίηση στο Quartus ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκοντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών

Διαβάστε περισσότερα

VHDL Εισαγωγικές έννοιες

VHDL Εισαγωγικές έννοιες VHDL Εισαγωγικές έννοιες ρ. Κ. Καραφασούλης ckaraf@aegean.gr Σχεδίαση Αρχικά ψηφιακά κυκλώµατα µπορούν να σχεδιασθούν µε το κατάλληλο λογισµικό. Μεγαλύτερα κυκλώµατα µπορούν να σχεδιασθούν ιεραρχικά από

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Εισαγωγή στα Συστήματα Ολοκληρωμένων Κυκλωμάτων Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής http://diceslab.cied.teiwest.gr E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Φεβ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 3 -i: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Περίληψη Αρχές σχεδιασµού Ιεραρχία σχεδιασµού Σχεδιασµός

Διαβάστε περισσότερα

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2007 Εισαγωγή Προπαρασκευαστική Άσκηση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Max +Plus II Στο εργαστήριο ΗΜΥ

Διαβάστε περισσότερα

KiCad Create new project Eeschema Electronic schematic editor Eeschema Page settings grid Place component

KiCad Create new project Eeschema Electronic schematic editor Eeschema Page settings grid Place component KiCad Από το εικονίδιο του KiCad ανοίγουμε το πρόγραμμα. Στο παράθυρο του προγράμματος εμφανίζεται το δέντρο της εργασίας αριστερά, ο editor της εργασίας δεξιά, ένα μενού εργασιών και εικονίδια στο επάνω

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα

Διαβάστε περισσότερα

Εγκατάσταση του Arduino IDE

Εγκατάσταση του Arduino IDE ΑΣΠΑΙΤΕ Συλλογή και Επεξεργασία Δεδομένων Εργαστήριο ΠΕΡΙΕΧΟΜΕΝΑ: Πώς να κατεβάσετε και να εγκαταστήσετε το Ολοκληρωμένο Περιβάλλον Ανάπτυξης (IDE), για το προγραμματισμό του Arduino. Χρησιμοποιώντας το

Διαβάστε περισσότερα

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ 3 Μέρος Α (Ι-V, προηγούμενο εργαστήριο λογισμικού) Βεβαιωθείτε

Διαβάστε περισσότερα

Πως θα κατασκευάσω το πρώτο πρόγραμμα;

Πως θα κατασκευάσω το πρώτο πρόγραμμα; Εργαστήριο Δομημένος Προγραμματισμός (C#) Τμήμα Μηχανολογίας Νικόλαος Ζ. Ζάχαρης Καθηγητής Εφαρμογών Σκοπός Να γίνει εξοικείωση το μαθητών με τον ΗΥ και το λειτουργικό σύστημα. - Επίδειξη του My Computer

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας Κεφάλαιο 3 ο Αρχιτεκτονική Υπολογιστών Μάθημα 3.: Κεντρική Μονάδα Επεξεργασίας Όταν ολοκληρώσεις το κεφάλαιο θα μπορείς: Να σχεδιάζεις την εσωτερική δομή της ΚΜΕ και να εξηγείς τη λειτουργία των επιμέρους

Διαβάστε περισσότερα

Παράδειγμα αντιστοίχισης κυκλώματος σε FPGA

Παράδειγμα αντιστοίχισης κυκλώματος σε FPGA Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών Παράδειγμα αντιστοίχισης κυκλώματος σε FPGA Γιώργος Δημητρακόπουλος με τη βοήθεια του Βασίλη Παπαευσταθίου Στο παράδειγμα αυτό χρησιμοποιώντας μια πολύ μικρή

Διαβάστε περισσότερα

Ενηµερώσεις λογισµικού Οδηγός χρήσης

Ενηµερώσεις λογισµικού Οδηγός χρήσης Ενηµερώσεις λογισµικού Οδηγός χρήσης Copyright 2009 Hewlett-Packard Development Company, L.P. Η ονοµασία Windows είναι σήµα κατατεθέν της Microsoft Corporation στις Ηνωµένες Πολιτείες. Οι πληροφορίες στο

Διαβάστε περισσότερα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2010 Εισαγωγή Προπαρασκευαστική παρουσίαση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Quartus II Στο εργαστήριο

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

Ενημερώσεις λογισμικού Οδηγός χρήσης

Ενημερώσεις λογισμικού Οδηγός χρήσης Ενημερώσεις λογισμικού Οδηγός χρήσης Copyright 2008 Hewlett-Packard Development Company, L.P. Η ονομασία Windows είναι σήμα κατατεθέν της εταιρείας Microsoft Corporation στις Η.Π.Α. Οι πληροφορίες στο

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

Διδάσκoντες: Γιώργος Ζάγγουλος και Λάζαρος Ζαχαρία. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διδάσκoντες: Γιώργος Ζάγγουλος και Λάζαρος Ζαχαρία. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartusμε bdfκαι vhdlαρχεία. Σύγκριση των χρονικών καθυστερήσεωνπου προκύπτουν από τους 2 σχεδιασμούς. Διδάσκoντες:

Διαβάστε περισσότερα

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι Εισαγωγή στη VHDL Εισαγωγή Very High Speed Integrated Circuits Hardware Description Language ιαφορές από γλώσσες προγραμματισμού: παράλληλη εκτέλεση εντολών προσδιορισμός

Διαβάστε περισσότερα

ΠΑΡΑΡΤΗΜΑ Β. Verification

ΠΑΡΑΡΤΗΜΑ Β. Verification ΠΑΡΑΡΤΗΜΑ Β Ροή Σχεδίασης και VHDL Ροή Σχεδίασης Πριν περάσουµε σε περιγραφή της γλώσσας VHDL είναι χρήσιµο να δούµε το περιβάλλον και τη ροή της σχεδίασης. Τα βήµατα µιας σχεδίασης βασισµένης σε VHDL

Διαβάστε περισσότερα

ΑΝΤΙΓΡΑΦΗ ΑΡΧΕΙΟΥ ΣΕ ΔΙΣΚΕΤΑ ΑΝΤΙΓΡΑΦΗ ΑΡΧΕΙΟΥ ΑΠΟ ΔΙΣΚΕΤΑ. Από τον κατάλογο που εμφανίζεται επιλέγω: Αποστολή προς Δισκέτα (3,5)

ΑΝΤΙΓΡΑΦΗ ΑΡΧΕΙΟΥ ΣΕ ΔΙΣΚΕΤΑ ΑΝΤΙΓΡΑΦΗ ΑΡΧΕΙΟΥ ΑΠΟ ΔΙΣΚΕΤΑ. Από τον κατάλογο που εμφανίζεται επιλέγω: Αποστολή προς Δισκέτα (3,5) ΑΝΤΙΓΡΑΦΗ ΑΡΧΕΙΟΥ ΣΕ ΔΙΣΚΕΤΑ ΑΝΤΙΓΡΑΦΗ ΑΡΧΕΙΟΥ ΑΠΟ ΔΙΣΚΕΤΑ Τοποθετώ μια δισκέτα στον οδηγό τη δισκέτας του υπολογιστή. Τοποθετώ τη δισκέτα που έχει το αρχείο μου στον οδηγό τη δισκέτας του υπολογιστή.

Διαβάστε περισσότερα

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού 7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού Εισαγωγή Η χειρονακτική σχεδίαση ενός ψηφιακού συστήµατος είναι εξαιρετικά δύσκολη και επιρρεπής σε λάθη Συστήµατα που ξεπερνούς τις µερικές

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΚΗ Ι Εργαστήριο 1 MATLAB ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1. Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave

ΠΛΗΡΟΦΟΡΙΚΗ Ι Εργαστήριο 1 MATLAB ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1. Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1 Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave Περιεχόμενο εργαστηρίου: - Το περιβάλλον ανάπτυξης προγραμμάτων Octave - Διαδικασία ανάπτυξης προγραμμάτων MATLAB - Απλά

Διαβάστε περισσότερα

5 ΕΙΣΑΓΩΓΗ ΣΤΗ ΘΕΩΡΙΑ ΑΛΓΟΡΙΘΜΩΝ

5 ΕΙΣΑΓΩΓΗ ΣΤΗ ΘΕΩΡΙΑ ΑΛΓΟΡΙΘΜΩΝ 5 ΕΙΣΑΓΩΓΗ ΣΤΗ ΘΕΩΡΙΑ ΑΛΓΟΡΙΘΜΩΝ 5.1 Εισαγωγή στους αλγορίθμους 5.1.1 Εισαγωγή και ορισμοί Αλγόριθμος (algorithm) είναι ένα πεπερασμένο σύνολο εντολών οι οποίες εκτελούν κάποιο ιδιαίτερο έργο. Κάθε αλγόριθμος

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Προετοιµασία: Παπαδόπουλος Γιώργος Σούρδης Γιάννης Για το µάθηµα Οργάνωσης Υπολογιστών (ΑΡΥ301), 2002 ΕΙΣΑΓΩΓΗ ΣΤΗ STRUCTURAL VHDL Η VHDL είναι

Διαβάστε περισσότερα

Ενηµερώσεις λογισµικού Οδηγός χρήσης

Ενηµερώσεις λογισµικού Οδηγός χρήσης Ενηµερώσεις λογισµικού Οδηγός χρήσης Copyright 2009 Hewlett-Packard Development Company, L.P. Η ονοµασία Windows είναι κατοχυρωµένο εµπορικό σήµα της Microsoft Corporation στις Η.Π.Α. Οι πληροφορίες στο

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Μελέτη 1 Πρώτη Μελέτη Διαμερίσματος στο FINE. Εισαγωγή αρχιτεκτονικών σχεδίων σε μία μελέτη, στο FINE.

Μελέτη 1 Πρώτη Μελέτη Διαμερίσματος στο FINE. Εισαγωγή αρχιτεκτονικών σχεδίων σε μία μελέτη, στο FINE. Σκοπός Μελέτη 1 Πρώτη Μελέτη Διαμερίσματος στο FINE. Δημιουργία Νέας Μελέτης. Εισαγωγή αρχιτεκτονικών σχεδίων σε μία μελέτη, στο FINE. Διαδικασία wblock. Καθορισμός Κτιρίου. Σχεδίαση του δικτύου, μίας

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Σχεδίαση layout και προσομοίωση κυκλώματος με το πρόγραμμα MICROWIND

Εργαστηριακή άσκηση. Σχεδίαση layout και προσομοίωση κυκλώματος με το πρόγραμμα MICROWIND Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

ΓΡΗΓΟΡΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΧΡΗΣΗ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ REINER PC set graphic

ΓΡΗΓΟΡΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΧΡΗΣΗ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ REINER PC set graphic Β.ΠΑΠΑΚΩΣΤΑ & ΣΙΑ ΟΕ ΕΙΣΑΓΩΓΕΣ ΕΜΠΟΡΙΟ ΕΚΤΥΠΩΤΩΝ ΕΤΙΚΕΤΩΝ & ΑΝΑΛΩΣΙΜΩΝ ΔΕΞΑΜΕΝΗΣ 30, ΜΕΤΑΜΟΡΦΩΣΗ ΑΤΤΙΚΗΣ, ΤΚ:14452 ΑΦΜ: 998587823, Δ.Ο.Υ. : ΝΕΑΣ ΙΩΝΙΑΣ ΤΗΛ: 2102844831 ΦΑΞ: 2102828703 www.sigma-hellas.gr,

Διαβάστε περισσότερα

Οδηγίες για την Διαδικασία αποθήκευσης στοιχείων ελέγχου πινάκων για επίλυση θέματος Οριοθέτησης.

Οδηγίες για την Διαδικασία αποθήκευσης στοιχείων ελέγχου πινάκων για επίλυση θέματος Οριοθέτησης. Οδηγίες για την Διαδικασία αποθήκευσης στοιχείων ελέγχου πινάκων για επίλυση θέματος Οριοθέτησης. 1. SMART BOARD SERIAL NUMBER: Ο σειριακός αριθμός του Διαδραστικού πίνακα βρίσκεται στην δεξιά πλαϊνή μεριά

Διαβάστε περισσότερα

SIMATIC MANAGER SIMATIC MANAGER

SIMATIC MANAGER SIMATIC MANAGER 1 Προγραμματισμός του PLC. 1. Γενικά Μια προσεκτική ματιά σε μια εγκατάσταση που θέλουμε να αυτοματοποιήσουμε, μας δείχνει ότι αυτή αποτελείται από επιμέρους τμήματα τα οποία είναι συνδεδεμένα μεταξύ τους

Διαβάστε περισσότερα

Μάθημα 4: Κεντρική Μονάδα Επεξεργασίας

Μάθημα 4: Κεντρική Μονάδα Επεξεργασίας Μάθημα 4: Κεντρική Μονάδα Επεξεργασίας 4.1 Γενικά Ο υπολογιστής επεξεργάζεται δεδομένα ακολουθώντας βήμα βήμα, τις εντολές ενός προγράμματος. Το τμήμα του υπολογιστή, που εκτελεί τις εντολές και συντονίζει

Διαβάστε περισσότερα

HDD Password Tool. Εγχειρίδιο χρήσης. Ελληνικά

HDD Password Tool. Εγχειρίδιο χρήσης. Ελληνικά HDD Password Tool Εγχειρίδιο χρήσης Ελληνικά 1 Πίνακας περιεχομένων Κεφάλαιο 1: Εισαγωγή... 3 Εμπορικά σήματα... 4 Κεφάλαιο 2: Απαιτούμενο λειτουργικό σύστημα... 5 - HDD Password Tool για Windows... 5

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2006-2007 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartusμε bdfκαι vhdlαρχεία. Σύγκριση των χρονικών καθυστερήσεωνπου προκύπτουν από τους 2 σχεδιασμούς. Διδάσκoντες:

Διαβάστε περισσότερα

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Μάθημα 4.5 Η Μνήμη - Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Όταν ολοκληρώσεις το μάθημα αυτό θα μπορείς: Να αναφέρεις τα κυριότερα είδη μνήμης

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: Βασικές Μονάδες

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: Βασικές Μονάδες ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Συσκευές κατάδειξης και πληκτρολόγιο Οδηγός χρήσης

Συσκευές κατάδειξης και πληκτρολόγιο Οδηγός χρήσης Συσκευές κατάδειξης και πληκτρολόγιο Οδηγός χρήσης Copyright 2009 Hewlett-Packard Development Company, L.P. Η ονομασία Windows είναι σήμα κατατεθέν της Microsoft Corporation στις Ηνωμένες Πολιτείες. Οι

Διαβάστε περισσότερα

Computer Setup Οδηγός χρήσης

Computer Setup Οδηγός χρήσης Computer Setup Οδηγός χρήσης Copyright 2007 Hewlett-Packard Development Company, L.P. Η ονομασία Windows είναι σήμα κατατεθέν της Microsoft Corporation στις Η.Π.Α. Οι πληροφορίες στο παρόν έγγραφο μπορεί

Διαβάστε περισσότερα

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ Η Κεντρική Μονάδα Επεξεργασίας (Central Processing Unit -CPU) ή απλούστερα επεξεργαστής αποτελεί το μέρος του υλικού που εκτελεί τις εντολές ενός προγράμματος υπολογιστή

Διαβάστε περισσότερα

Εργαστήριο 6 Μικρή Πολυκατοικία στο FINE Μέρος Α : Εισαγωγή & Ευθυγράμμιση των κατόψεων των ορόφων της Πολυκατοικίας

Εργαστήριο 6 Μικρή Πολυκατοικία στο FINE Μέρος Α : Εισαγωγή & Ευθυγράμμιση των κατόψεων των ορόφων της Πολυκατοικίας Εργαστήριο 6 Μικρή Πολυκατοικία στο FINE Μέρος Α : Εισαγωγή & Ευθυγράμμιση των κατόψεων των ορόφων της Πολυκατοικίας. Εισαγωγή αρχιτεκτονικών σχεδίων σε μία μελέτη στο FINE. Διαδικασία wblock. Σκοπός Σχεδίαση

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 3 : Μια άποψη του κορυφαίου επιπέδου λειτουργίας και διασύνδεσης του υπολογιστή Καρβούνης Ευάγγελος Η έννοια

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ

ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕ ΙΑΣΗ ΚΥΚΛΩΜΑΤΩΝ ΜΕΓΑΛΗΣ ΚΛΙΜΑΚΑΣ ΟΛΟΚΛΗΡΩΣΗΣ (VLSI) ΜΕ ΧΡΗΣΗ ΤΗΣ ΤΕΧΝΟΛΟΓΙΑΣ ΤΩΝ FPGA ρ. Ε. Βασιλακοπούλου οµήτης Παρουσίασης ιάκριση Κυκλωµάτων.

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

ΕΠΛ 003: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΕΠΙΣΤΗΜΗ ΤΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΕΠΛ 003: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΕΠΙΣΤΗΜΗ ΤΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 003: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΕΠΙΣΤΗΜΗ ΤΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ Δρ. Κόννης Γιώργος Πανεπιστήμιο Κύπρου - Τμήμα Πληροφορικής Προγραμματισμός Στόχοι 1 Να περιγράψουμε τις έννοιες του Υπολογιστικού Προβλήματος και του Προγράμματος/Αλγορίθμου

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 VHDL, Χαρακτηριστικά και τρόποι περιγραφής Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Τμήμα Μηχανικών Πληροφορικής ΤΕ http://diceslab.cied.teiwest.gr

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Τεχνική Σχεδίαση

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Τεχνική Σχεδίαση ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Τεχνική Σχεδίαση Ενότητα: ΕΡΓΑΣΤΗΡΙΟ 1 - ΘΕΩΡΗΤΙΚΗ ΑΝΑΛΥΣΗ ΚΥΚΛΩΜΑΤΟΣ Ι ΧΡΗΣΗΣ ΚΑΝΟΝΩΝ KIRCHOFF ΘΕΟΔΩΡΟΣ ΓΚΑΝΕΤΣΟΣ Τμήμα Μηχ.

Διαβάστε περισσότερα

ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ. Υλοποίηση ενός μικροεπεξεργαστή με VHDL κώδικα

ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ. Υλοποίηση ενός μικροεπεξεργαστή με VHDL κώδικα Τ.Ε.Ι. ΗΠΕΙΡΟΥ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΗΠΕΙΡΟΥ Τμήμα Μηχανικών Πληροφορικής Τ.Ε ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ Υλοποίηση ενός μικροεπεξεργαστή με VHDL κώδικα Ροκαδάκη Φρειδερίκη ΑΜ: 12054 Επιβλέπων καθηγητής:

Διαβάστε περισσότερα

Λίγα λόγια από το συγγραφέα Κεφάλαιο 1: Βάσεις δεδομένων και Microsoft Access Κεφάλαιο 2: Microsoft Access

Λίγα λόγια από το συγγραφέα Κεφάλαιο 1: Βάσεις δεδομένων και Microsoft Access Κεφάλαιο 2: Microsoft Access Περιεχόμενα Λίγα λόγια από το συγγραφέα... 7 Κεφάλαιο 1: Βάσεις δεδομένων και Microsoft Access... 9 Κεφάλαιο 2: Microsoft Access 2002... 20 Κεφάλαιο 3: Το σύστημα Βοήθειας του Microsoft Office ΧΡ... 36

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΚΗ ΙΙ Python. 1η Ομάδα Ασκήσεων

ΠΛΗΡΟΦΟΡΙΚΗ ΙΙ Python. 1η Ομάδα Ασκήσεων ΠΛΗΡΟΦΟΡΙΚΗ ΙΙ Python 1η Ομάδα Ασκήσεων Περιεχόμενο εργαστηρίου: - Το περιβάλλον ανάπτυξης προγραμμάτων IDLE - Διαδικασία ανάπτυξης προγραμμάτων Python - Εισαγωγικά προγράμματα / print / μεταβλητές / input

Διαβάστε περισσότερα

Συσκευές κατάδειξης και πληκτρολόγιο Οδηγός χρήσης

Συσκευές κατάδειξης και πληκτρολόγιο Οδηγός χρήσης Συσκευές κατάδειξης και πληκτρολόγιο Οδηγός χρήσης Copyright 2008 Hewlett-Packard Development Company, L.P. Η ονομασία Windows είναι εμπορικό σήμα κατατεθέν της Microsoft Corporation. Οι πληροφορίες στο

Διαβάστε περισσότερα

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή 1. Ηλεκτρονικός Υπολογιστής Ο Ηλεκτρονικός Υπολογιστής είναι μια συσκευή, μεγάλη ή μικρή, που επεξεργάζεται δεδομένα και εκτελεί την εργασία του σύμφωνα με τα παρακάτω

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 1 η :

Διαβάστε περισσότερα

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Τμήμα Πληροφορικής & Επικοινωνιών Τομέας Υπολογιστικών Τεχνικών & Συστημάτων Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Ιωάννης Γεωργουδάκης - Πάρις Μαστοροκώστας Σεπτέμβριος 2011 ΠΕΡΙΕΧΟΜΕΝΑ

Διαβάστε περισσότερα

Συλλογή & Επεξεργασία Δεδομένων Εργαστήριο 5. Ρυθμίζοντας τη Φορά Περιστροφής. Σύστημα Συλλογής & Επεξεργασίας Μετρήσεων

Συλλογή & Επεξεργασία Δεδομένων Εργαστήριο 5. Ρυθμίζοντας τη Φορά Περιστροφής. Σύστημα Συλλογής & Επεξεργασίας Μετρήσεων Σκοπός Συλλογή & Επεξεργασία Δεδομένων Εργαστήριο 5 Ρυθμίζοντας τη Φορά Περιστροφής DC Κινητήρα. Σύστημα Συλλογής & Επεξεργασίας Μετρήσεων Βασική δομή ενός προγράμματος στο LabVIEW. Εμπρόσθιο Πλαίσιο (front

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ 1) Το παρακάτω κύκλωμα του σχήματος 1 είναι ένας καταχωρητής-ολισθητής

Διαβάστε περισσότερα

Εξωτερικές συσκευές. Οδηγός χρήσης

Εξωτερικές συσκευές. Οδηγός χρήσης Εξωτερικές συσκευές Οδηγός χρήσης Copyright 2007 Hewlett-Packard Development Company, L.P. Η ονοµασία Windows είναι εµπορικό σήµα κατατεθέν της εταιρείας Microsoft Corporation στις Η.Π.Α. Οι πληροφορίες

Διαβάστε περισσότερα

Epsilon Net PYLON Platform

Epsilon Net PYLON Platform Epsilon Net PYLON Platform Οδηγίες Εγκατάστασης Top 1 / 31 Περιεχόμενα 1 ΠΡΟΑΠΑΙΤΟΥΜΕΝΑ... 3 2 ΕΓΚΑΤΑΣΤΑΣΗ ΕΦΑΡΜΟΓΗΣ... 5 3 ΕΓΚΑΤΑΣΤΑΣΗ DEMO... 7 4 ΕΓΚΑΤΑΣΤΑΣΗ ΠΡΟΤΥΠΗΣ ΒΑΣΗΣ... 8 4.1 Φόρτωση πρότυπης

Διαβάστε περισσότερα

Εξωτερικές συσκευές Οδηγός χρήσης

Εξωτερικές συσκευές Οδηγός χρήσης Εξωτερικές συσκευές Οδηγός χρήσης Copyright 2008 Hewlett-Packard Development Company, L.P. Οι πληροφορίες στο παρόν έγγραφο μπορεί να αλλάξουν χωρίς προειδοποίηση. Οι μοναδικές εγγυήσεις για προϊόντα και

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL Περιγραφή Κυκλωμάτων με χρήση της VHDL Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL Οργάνωση Παρουσίασης VHDL εισαγωγικές έννοιες Ροή και επίπεδα σχεδιασμού ψηφιακών κυκλωμάτων Μοντελοποίηση Καθυστερήσεων

Διαβάστε περισσότερα

Κεφάλαιο 2.3: Ρυθμίσεις των Windows

Κεφάλαιο 2.3: Ρυθμίσεις των Windows Κεφάλαιο 2.3: Ρυθμίσεις των Windows 2.3.1 Βασικές πληροφορίες συστήματος Για να δούμε βασικές πληροφορίες για τον υπολογιστή μας, πατάμε το κουμπί «Έναρξη» και επιλέγουμε διαδοχικά «Πίνακας Ελέγχου», «Σύστημα

Διαβάστε περισσότερα

Εισαγωγή στο Εργαστήριο Υλικού

Εισαγωγή στο Εργαστήριο Υλικού ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στο Εργαστήριο Υλικού Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

Συστήματα VLSI. Εισαγωγή. Γιώργος Δημητρακόπουλος. Δημοκρίτειο Πανεπιστήμιο Θράκης. Άνοιξη 2014

Συστήματα VLSI. Εισαγωγή. Γιώργος Δημητρακόπουλος. Δημοκρίτειο Πανεπιστήμιο Θράκης. Άνοιξη 2014 Συστήματα VLSI Εισαγωγή Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Άνοιξη 2014 Συστήματα VLSI 1 Τα ολοκληρωμένα κυκλώματα από «μέσα» Συστήματα VLSI 2 Τα εργαλεία της σχεδίασης Algorithms-Applications

Διαβάστε περισσότερα

MESSAGE EDITOR FOR WINDOWS Ο ΗΓΙΕΣ ΧΡΗΣΕΩΣ

MESSAGE EDITOR FOR WINDOWS Ο ΗΓΙΕΣ ΧΡΗΣΕΩΣ MESSAGE EDITOR FOR WINDOWS Ο ΗΓΙΕΣ ΧΡΗΣΕΩΣ Εγκατάσταση και λειτουργία message editor: Αρχικά τοποθετούµε το cd στον υπολογιστή και εµφανίζεται η οθόνη εγκατάστασης Στην περίπτωση που δεν εµφανιστεί αυτόµατα

Διαβάστε περισσότερα

Συστοιχία Επιτόπια Προγραμματιζόμενων Πυλών Field Programmable Gate Arrays (FPGAs)

Συστοιχία Επιτόπια Προγραμματιζόμενων Πυλών Field Programmable Gate Arrays (FPGAs) Συστοιχία Επιτόπια Προγραμματιζόμενων Πυλών Field Programmable Gate Arrays (FPGAs) Οι προγραμματιζόμενες λογικές διατάξεις (PLDs Programmable Logic Devices) είναι ψηφιακά ολοκληρωμένα κυκλώματα (ICs) που

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ ΕΘΝΙΚΟΝ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟΝ ΠΑΝΕΠΙΣΤΗΜΙΟΝ ΑΘΗΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΠΠΣ Πληροφορικής και Τηλεπικοινωνιών, E Εξάμηνο

Διαβάστε περισσότερα

> μεγαλύτερο <= μικρότερο ή ίσο < μικρότερο == ισότητα >= μεγαλύτερο ή ίσο!= διαφορετικό

> μεγαλύτερο <= μικρότερο ή ίσο < μικρότερο == ισότητα >= μεγαλύτερο ή ίσο!= διαφορετικό 5 ο Εργαστήριο Λογικοί Τελεστές, Δομές Ελέγχου Λογικοί Τελεστές > μεγαλύτερο = μεγαλύτερο ή ίσο!= διαφορετικό Οι λογικοί τελεστές χρησιμοποιούνται για να ελέγξουμε

Διαβάστε περισσότερα

Οδηγίες για την εγκατάσταση του πακέτου Cygwin

Οδηγίες για την εγκατάσταση του πακέτου Cygwin Οδηγίες για την εγκατάσταση του πακέτου Cygwin Ακολουθήστε τις οδηγίες που περιγράφονται σε αυτό το file μόνο αν έχετε κάποιο laptop ή desktop PC που τρέχουν κάποιο version των Microsoft Windows. 1) Copy

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 13 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

ΙΚΑΝΟΤΗΤΕΣ: 1. Αναγνωρίζει απλούς κωδικοποιητές - αποκωδικοποιητές.

ΙΚΑΝΟΤΗΤΕΣ: 1. Αναγνωρίζει απλούς κωδικοποιητές - αποκωδικοποιητές. ΙΚΑΝΟΤΗΤΕΣ: 1. Αναγνωρίζει απλούς κωδικοποιητές - αποκωδικοποιητές. 2.Επαληθεύει τη λειτουργία των κωδικοποιητών αποκωδικοποιητών με τη βοήθεια πινάκων 3. Υλοποιεί συνδυαστικά κυκλώματα με αποκωδικοποιητές

Διαβάστε περισσότερα

Τα Windows Πολύ Απλά και Πολύ Σύντομα

Τα Windows Πολύ Απλά και Πολύ Σύντομα Σαχπατζίδης Αβραάμ Καθηγητής Πληροφορικής Π.Ε 20 Master of Arts (M.A) in "Gender, New Forms of Education, New Forms of Employment and New Technologies in the Information Age". Τα Windows Πολύ Απλά και

Διαβάστε περισσότερα

Διαδικτυακό Περιβάλλον Διαχείρισης Ασκήσεων Προγραμματισμού

Διαδικτυακό Περιβάλλον Διαχείρισης Ασκήσεων Προγραμματισμού ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕΔΟΝΙΑΣ ΔΙΑΤΜΗΜΑΤΙΚΟ ΜΕΤΑΠΤΥΧΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΣΤΑ ΠΛΗΡΟΦΟΡΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Διπλωματική Εργασία με θέμα: Διαδικτυακό Περιβάλλον Διαχείρισης Ασκήσεων Προγραμματισμού Καραγιάννης Ιωάννης Α.Μ.

Διαβάστε περισσότερα

Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή

Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή Δοκιμή ολοκληρωμένων κυκλωμάτων με χρήση του Inovys Personal Ocelot και

Διαβάστε περισσότερα

Κεφάλαιο Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων.

Κεφάλαιο Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων. Α Γενικού Λυκείου Κεφάλαιο 1 1.1 Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων. Ιδιαίτερη θέση έχουν οι Υπολογιστικές συσκευές. Μηχανισμός

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα