ιπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ιπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών"

Transcript

1 ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ: Ηλεκτρονικής και Υπολογιστών ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ιπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών Κορκοτσίδης Στέλιος Αριθμός Μητρώου: 6285 Θέμα «Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Επικοινωνιών, Πολύ Υψηλών Συχνοτήτων» Επιβλέπων Αλέξιος Μπίρμπας Αριθμός ιπλωματικής Εργασίας: Πάτρα, Σεπτέμβριος 2012

2

3 ΠΙΣΤΟΠΟΙΗΣΗ Πιστοποιείται ότι η ιπλωματική Εργασία με θέμα «Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Επικοινωνιών, Πολύ Υψηλών Συχνοτήτων» Του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Κορκοτσίδη Στέλιου του Αναστασίου Αριθμός Μητρώου: 6285 Παρουσιάστηκε δημόσια και εξετάστηκε στο Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών στις 26 / 7 / 2012 Ο Επιβλέπων Ο ιευθυντής του Τομέα Αλέξιος Μπίρμπας, Αναπληρωτής Καθηγητής Ευθύμιος Χούσος, Καθηγητής

4

5 Αριθμός ιπλωματικής Εργασίας: Θέμα: «Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Επικοινωνιών, Πολύ Υψηλών Συχνοτήτων» Φοιτητής: Επιβλέπων: Περίληψη Στα πλαίσια της παρούσας διπλωματικής εργασίας μελετήθηκαν οι βασικές αρχές λειτουργίας και θορύβου στα Phase Locked Loops. Στη συνέχεια σχεδιάστηκε το σχηματικό και το layout ενός PLL μικτού σήματος στο λογισμικό σχεδίασης ολοκληρωμένων κυκλωμάτων, Cadence IC5141. Το κύκλωμα αυτό λειτουργεί σαν συνθέτης συχνοτήτων στην περιοχή των 5GHz, από μία συχνότητα αναφοράς 50MHz, έχει θόρυβο φάσης περίπου 88dBc στο 1MHz από το φορέα και μέση κατανάλωση λιγότερο από 30mW.

6

7 Ευχαριστίες Θα ήθελα να ευχαριστήσω θερμά όλους όσους με την υποστήριξή τους, τις γνώσεις και την εμπειρία τους με βοήθησαν να ολοκληρώσω την παρούσα την εργασία. Πιο συγκεκριμένα, τον καθηγητή μου κύριο Αλέξιο Μπίρμπα, το Βασίλη Παναγιωτόπουλο, τον Δημήτρη Ανδρικόπουλο, το Παναγιώτη Νιαβή, το Χρήστο Σπαθή και την Αναστασία Χριστουλάκη. 2

8

9 Περιεχόμενα 1 Εισαγωγή Τι είναι το PLL Ιστορικά στοιχεία Η λειτουργία του PLL Βασικές αρχές Κατηγορίες PLL PLL μικτού σήματος Block διάγραμμα Δομικά στοιχεία του PLL Phase Detectors Charge pump Φίλτρα Ταλαντωτές ελεγχόμενης συχνότητας Ταλαντωτής LC Ταλαντωτής δακτυλίου Αρχιτεκτονικές VCO Διαιρέτες συχνότητας Η λειτουργία του PLL στην κλειδωμένη κατάσταση Συνάρτηση μεταφοράς του συστήματος Περιοχές κλειδώματος του PLL Θόρυβος στα PLLs Τύποι θορύβου Θερμικός θόρυβος Ο θόρυβος στα συστήματα ταλαντωτών Θόρυβος φάσης στα VCO Η εξίσωση του Leeson Θόρυβος φάσης στους ταλαντωτές δακτυλίου Το phase noise στο PLL Μέτρηση του θορύβου φάσης Reference Spurs Ρεύμα διαρροής του charge pump

10 5 Σχεδίαση του PLL Μεθοδολογία σχεδίασης Phase Frequency Detector Charge Pump Single-ended σε διαφορικό Διαιρέτης συχνότητας Διαφορικό Voltage Controlled Oscillator Μελέτη του βρόχου και αποτελέσματα Layout Συμπεράσματα 80 7 Βιβλιογραφία 81

11 Κεφάλαιο 1 Εισαγωγή Στα πλαίσια της παρούσας διπλωματικής, σχεδιάστηκε το κύκλωμα ενός βρόχου κλειδωμένης φάσης (στο εξής θα αναφέρεται ως Phase Locked Loop) για υψίσυχνα κυκλώματα επικοινωνιών ή για εφαρμογές μετάδοσης δεδομένων μεταξύ συσκευών, όπως για παράδειγμα με τη χρήση του πρωτοκόλλου USB 3. Το κύκλωμα σχεδιάστηκε στην έκδοση IC5141 του λογισμικού Cadence και στη συνέχεια σχεδιάστηκε το layout του με το πρόγραμμα Virtuoso. Ωστόσο λόγω του μεγάλου κόστους και του χρονικού περιορισμού, δεν κατασκευάστηκε το ολοκληρωμένο κύκλωμα, συνεπώς όλες οι τιμές των μετρήσεων που θα αναφερθούν στη συνέχεια βασίζονται στα αποτελέσματα των εξομοιώσεων του κυκλώματος. Ωστόσο, τα μοντέλα των στοιχείων που υπάρχουν στις βιβλιοθήκες έχουν μεγάλη ακρίβεια και συνεπώς μπορούμε με ασφάλεια να θεωρήσουμε ότι οι εξομοιώσεις δίνουν αποτελέσματα πολύ κοντά στην πραγματικότητα. 1.1 Τι είναι το PLL Το PLL είναι ένα σύστημα ελέγχου με αρνητική ανάδραση. Η λειτουργία του βασίζεται σε ένα ρυθμιζόμενο ταλαντωτή ο οποίος παράγει ένα σήμα εξόδου, η φάση του οποίου σχετίζεται με τη φάση ενός σήματος εισόδου. Η σύγκριση τους γίνεται με τη βοήθεια ενός ανιχνευτή φάσης (phase detector) ο οποίος παράγει ένα σήμα ανάλογο της διαφοράς φάσης τους και χρησιμοποιείται για να ελέγξει τη συχνότητα του ταλαντωτή. Συνεπώς, αυξομοιώνοντας τη συχνότητα του τελευταίου προσαρμόζεται η φάση της εξόδου του ταλαντωτή στη φάση του σήματος εισόδου του PLL. Είναι προφανές λοιπόν, ότι το σήμα του phase detector ελέγχει το ρυθμιζόμενο ταλαντωτή κλείνοντας το βρόχο ανάδρασης. Δεδομένου ότι η συχνότητα είναι παράγωγο της φάσης, όταν σταθεροποιηθεί το σύστημα, το σήμα εξόδου θα πρέπει να έχει την ίδια συχνότητα με το σήμα εισόδου. Έτσι το PLL μπορεί είτε να προσαρμόζεται στη συχνότητα ενός σήματος αναφοράς, είτε να παράγει ένα πολλαπλάσιο μιας συχνότητας που βάζουμε στην είσοδο, με τη χρήση ενός διαιρέτη συχνότητας. Η πρώτη περίπτωση χρησιμοποιείται κυρίως για την αποδιαμόρφωση, ενώ η δεύτερη για τη σύνθεση συχνοτήτων. Τα PLLs χρησιμοποιούνται σε πλήθος εφαρμογών, μεταξύ των άλλων στη ραδιοφωνία, στην τηλεόραση, στις τηλεπικοινωνίες, στους υπολογιστές και σε διάφορες ηλεκτρι- 1

12 κές συσκευές, αφού μπορούν να ανακτήσουν σήματα από θορυβώδη κανάλια, να κάνουν αποδιαμόρφωση, να παράγουν πολλαπλάσια μιας σταθερής συχνότητας ή να διανέμουν παλμούς ρολογιού σε ψηφιακά κυκλώματα όπως οι μικροεπεξεργαστές. Από τότε που εξαπλώθηκε η χρήση των ολοκληρωμένων κυκλωμάτων, τα στοιχεία του PLL χώρεσαν σε ένα chip και το κόστος του έγινε πολύ μικρό, οπότε η χρήση της τεχνικής αυτής εξαπλώθηκε σε ένα μεγάλο εύρος ηλεκτρικών συσκευών για συχνότητες από μερικά Hz μέχρι αρκετά Ghz, ανάλογα με την εφαρμογή. 1.2 Ιστορικά στοιχεία Αν και συχνά θεωρούμε ότι τα PLLs είναι σχετικά σύγχρονες ανακαλύψεις, η βιβλιογραφία στην οποία βασίστηκαν εκτείνεται μέχρι και το 1919 [1]. Ο Vincent και τέσσερα χρόνια μετά και ο Appleton [2], πειραματίστηκαν και ανέλυσαν αντίστοιχα, το συγχρονισμό ταλαντωτών. Οι ταλαντωτές αυτοί φτιάχτηκαν με τη χρήση λυχνιών, οι οποίες είχαν αρχίσει να χρησιμοποιούνται ήδη από το 1907, όταν κατέθεσε ο Lee De Forest την πατέντα για τη λυχνία Audion, πρόδρομο της γνωστής τριόδου [3]. Μετά από τα πρώτα αυτά βήματα, η έρευνα συνεχίστηκε μέχρι τη δεκαετία του Τότε, ο αρχικός στόχος του συγχρονισμού ήταν για δύο σκοπούς: για τον τοπικό ταλαντωτή στην αποδιαμόρφωση FM και για τον διεγέρτη ενός ενισχυτή επιτάχυνσης ατομικών σωματιδίων[4]. Η θεωρία ελέγχου των PLL βασίστηκε στην ήδη ανεπτυγμένη θεωρία των ενισχυτών ανάδρασης. Από τους πρώτους πρωτοπόρους της ανάλυσης της ανάδρασης ήταν και οι ερευνητές των Bell Labs, Bode [5] και Nyquist [6], που ανέπτυξαν θεωρία και τεχνικές οι οποίες χρησιμοποιούνται ακόμα και σήμερα από ερευνητές και σχεδιαστές.[6] Οι πρώτες έρευνες προς αυτό που έγινε γνωστό ως βρόχος κλειδώματος φάσης, έγιναν ήδη από το 1932, όταν αναπτύχθηκε από βρετανούς ερευνητές μία εναλλακτική του υπερετερόδυνου δέκτη, ο ομόδυνος ή δέκτης άμεσης μετατροπής (direct-conversion receiver). Στο ομόδυνο σύστημα, ένας ταλαντωτής ρυθμιζόταν σε μια επιθυμητή συχνότητα εισόδου και πολλαπλασιαζόταν με το σήμα εισόδου. Το αποτέλεσμα συμπεριλάμβανε την αρχική διαμορφωμένη πληροφορία. Η αρχική ιδέα ήταν να αναπτυχθεί ένα εναλλακτικό κύκλωμα δέκτη που να απαιτούσε λιγότερα στάδια συντονισμένων κυκλώματων απ ό,τι ο υπερετερόδυνος δέκτης. Αφού όμως ο τοπικός ταλαντωτής μετέβαλλε γρήγορα τη συχνότητά του, εφαρμοζόταν πάνω του ένα αυτόματο σήμα διόρθωσης, έτσι ώστε να κρατήσει την έξοδό του στην ίδια φάση και συχνότητα με το επιθυμητό σήμα. Αυτή η τεχνική περιγράφτηκε από τον Henri de Bellescize, στο γαλλικό περιοδικό L Onde Électrique [7]. Από τα τέλη του 1930 χρησιμοποιήθηκαν στους δέκτες της αναλογικής τηλεόρασης, κυκλώματα κλειδωμένης φάσης οριζόντιας και κάθετης σάρωσης, για να συγχρονιστούν οι παλμοί του σήματος μετάδοσης.[8] Το 1935, ο Travis εξέδωσε τη μελέτη Αυτόματος έλεγχος συχνότητας [9], στο οποίο ανέφερε δύο λόγους για τον έλεγχο του τοπικού ταλαντωτή ενός δέκτη. Ο πρώτος ήταν επειδή η μεταβολή της συχνότητας του ταλαντωτή, λόγω ακρίβειας ή λόγω περιβαλλοντικών παραγόντων, ήταν ικανό να απορυθμίσει το σήμα κατά πολλά κανάλια στη διάρκεια μερικών ωρών, ενώ ο δεύτερος ήταν ο μέσος χρήστης δε μπορούσε να επιλέξει 2

13 κανάλι με μεγάλη ακρίβεια, κάτι που συνεπαγόταν απώλεια στην ποιότητα. Φυσικά η έγχρωμη τηλεόραση δε θα μπορούσε να υπάρξει αν δεν είχε αναπτυχθεί η τεχνολογία του PLL. Πιο συγκεκριμένα, ο υποφορέας του χρώματος στα MΗz απαιτούσε ακριβή έλεγχο της φάσης έτσι ώστε να διατηρηθεί η σταθερότητα των χρωμάτων της εικόνας. Ο Richman [10] ήταν ο πρώτος που ανέπτυξε εξισώσεις για να περιγράψει το χρόνο κλειδώματος για ένα PLL πρώτης τάξης. Στα πρώτα χρόνια της δεκαετίας του 50, ένα καλό PLL θα μπορούσε να ρυθμίσει το χρώμα της τηλεόρασης μέσα σε ένα δευτερόλεπτο. Ένα μέτριο PLL θα μπορούσε να κάνει το ίδιο σε 10 δευτερόλεπτα. Φυσικά, οι ταλαντωτές ήταν όλοι βασισμένοι στις λυχνίες και η συχνότητα του βρόχου ρυθμιζόταν με μία λυχνία αντίδρασης (reactance tube). Μέχρι το 1959, η θεωρία και η σχεδίαση των αναλογικών PLL, είχε ωριμάσει. Η βιβλιογραφία ακόμα αναφερόταν στους αναλογικούς σερβομηχανισμούς, οι οποίοι είχαν χρησιμοποιηθεί κατά κόρον στο δεύτερο παγκόσμιο πόλεμο. Ένα μεγαλύτερο φάσμα εφαρμογών ήταν αποτέλεσμα της αποδοχής του PLL σαν ένα κατωπερατό φίλτρο για εισόδους διαμορφωμένες κατά FM και ενός ανωπερατού φίλτρου του ταλαντωτή εξόδου. Ο McAleer [11] έγραψε ότι υπάρχουν τρεις χρήσεις των PLL: 1) Σε ένα δέκτη για να αυξηθεί η στάθμη ισχύος και να αποσβεστεί ο θόρυβος ενός ασθενούς FM σήματος, 2) για να μειωθεί το jitter ή ο θόρυβος συχνότητας ενός ταλαντωτή μεγάλης ισχύος και 3) σαν στενοπερατό (narrowbandwidth) φίλτρο. Μετά το 1960 γίνεται πλήθος δημοσιεύσεων και ερευνών στο αντικείμενο αυτό. Η παραγωγή του PLL σε ολοκληρωμένα κυκλώματα το 1965, επέτρεψε τη χρήση του σε πλήθος καταναλωτικών προϊόντων. Γύρω στο 1970 εισάγονται στη βιβλιογραφία και στα προϊόντα τα ψηφιακά PLL. Λίγο αργότερα ξεκίνησε και η ιστορία των οπτικών PLL αφού το 1960 ανακαλύφθηκε το laser, με αποτέλεσμα το πρώτο laser PLL να εμφανιστεί τέσσερα χρόνια μετά.[12] 3

14 Κεφάλαιο 2 Η λειτουργία του PLL 2.1 Βασικές αρχές Τα PLLs χρησιμοποιούνται για να κρατήσουν τα σήματα στον κόσμο των ηλεκτρονικών σε τάξη. Για παράδειγμα, σε μία τηλεόραση, ένα PLL φροντίζει να κρατιούνται οι εικόνες στο σωστό προσανατολισμό, ενώ ένα άλλο PLL φροντίζει να διατηρούνται τα χρώματα όπως ακριβώς τα έστειλε ο πομπός. Όπως έχει ήδη αναφερθεί στην εισαγωγή, το PLL είναι ένα κύκλωμα που αναγκάζει ένα σύστημα να συγχρονιστεί με ένα άλλο. Πιο συγκεκριμένα, η φάση και η συχνότητα ενός σήματος εξόδου (που δημιουργείται από ένα ταλαντωτή) συγχρονίζεται με ένα σήμα αναφοράς. Όταν επιτευχθεί ο συχρονισμός, μία κατάσταση που ονομάζεται κλείδωμα, το σφάλμα φάσης μεταξύ της εξόδου και του σήματος αναφοράς είναι μηδέν ή διατηρείται σταθερό. Αν για οποιοδήποτε λόγο το σφάλμα φάσης συσσωρεύεται, ένας μηχανισμός ελέγχου προσαρμόζει τον ταλαντωτή έτσι ώστε να μειώσει το σφάλμα σε μία ελάχιστη τιμή. Σε ένα τέτοιο σύστημα ελέγχου, η φάση του σήματος εξόδου είναι στην πραγματικότητα κλειδωμένη στη φάση του σήματος αναφοράς. Αυτός είναι ο λόγος που δόθηκε στο σύστημα αυτό η ονομασία βρόχος κλειδώματος φάσης. Όπως φαίνεται και στο Σχήμα 2.1, η βασική δομή του PLL αποτελείται από τρία βασικά συστατικά στοιχεία: i. Ταλαντωτής ελεγχόμενος από τάση (VCO) ii. Ανιχνευτής φάσης (Phase Detector) iii. Φίλτρο βρόχου (Loop filter) Σε αυτό το απλό block διάγραμμα, δεν περιλαμβάνεται κάποιος διαιρέτης συχνότητας μεταξύ του VCO και του Phase Detector. Την περίπτωση αυτή, η οποία χρησιμοποιήθηκε και στην υλοποίηση του PLL, θα τη συζητήσουμε εκτενέστερα στη συνέχεια. Μία άλλη παρατήρηση που πρέπει να κάνουμε σε αυτό το σημείο, είναι ότι σε μερικά κυκλώματα, αντί για VCO χρησιμοποιείται CCO δηλαδή ταλαντωτής ελεγχόμενος από ρεύμα. Επειδή η μετατροπή τάσης σε ρεύμα είναι απλή, η περίπτωση αυτή δε διαφέρει σε τίποτα ουσιαστικό με αυτή του VCO και συνεπώς δε θα την περιγράψουμε καθόλου. Τα σήματα που μας ενδιαφέρουν σε αυτό το block διάγραμμα είναι τα εξής: 4

15 Σχήμα 2.1: Το block διάγραμμα του PLL Το σήμα αναφοράς u 1 (t) Η γωνιακή συχνότητα του σήματος u 1 (t), ω 1 Το σήμα εξόδου του VCO, u 2 (t) Η γωνιακή συχνότητα του u 2 (t), ω 2 Η έξοδος του phase detector u d Η έξοδος του φίλτρου u c Το σφάλμα φάσης (phase error) θ e που ορίζεται ως η διαφορά της φάσης του u 1 με τη φάση του u 2 Στο παραπάνω απλοποιημένο σύστημα λοιπόν, η έξοδος του VCO είναι ένα σήμα με συχνότητα ω 2 που καθορίζεται από το σήμα ελέγχου u c σύμφωνα με την εξίσωση: ω 2 = ω 0 + K V CO u c (t) (2.1) Στην εξίσωση αυτή, το ω 0 είναι μία συχνότητα γύρω από την οποία ελέγχεται το VCO, ενώ το K V CO είναι το κέρδος του, το οποίο έχει μονάδες rad s 1 V 1 και προκύπτει από τη σχέση K V CO = ω max ω min V dd V ss, με f max και f min τη μέγιστη και την ελάχιστη συχνότητα που μπορεί να παράγει αντίστοιχα. O ανιχνευτής ή συγκριτής φάσης, συγκρίνει τη φάση του σήματος εξόδου με τη φάση του σήματος αναφοράς και παράγει ένα σήμα εξόδου u d (t) το οποίο είναι ανάλογο του σφάλματος φάσης θ e : u d (t) = K P D θ e (2.2) Το μέγεθος K P D είναι το κέρδος του phase detector και έχει μονάδες V olts/rad. Επειδή το u d (t) αποτελείται από μία dc και από μία ac συνιστώσα, χρησιμοποιούμε ένα φίλτρο για να αφαιρέσουμε την τελευταία. Συνήθως χρησιμοποιείται ένα κατωπερατό φίλτρο πρώτης τάξης, έτσι ώστε η μελέτη του συστήματος να είναι πιο εύκολη. Αν υποθέσουμε ότι αρχικά έχουμε ένα σήμα αναφοράς το οποίο έχει συχνότητα ω 0, τότε το VCO θα λειτουργεί σε αυτή, δηλαδή την κεντρική του συχνότητα. Σε αυτή την 5

16 περίπτωση το σφάλμα φάσης θα είναι μηδενικό, οπότε η τάση u d θα είναι και αυτή μηδέν. Το ίδιο φυσικά θα ισχύει και για την τάση ελέγχου u c. Αν η διαφορά φάσης των u 1 και u 2 αρχικά δεν ήταν μηδέν, τότε ο phase detector θα είχε μια μη μηδενική έξοδο. Μετά από ένα χρονικό διάστημα το φίλτρο θα ανέπτυσε κι αυτό μια σταθερή τάση, τέτοια ώστε να αυξηθεί (ή να μειωθεί) η συχνότητα του VCO, με απώτερο σκοπό να μειωθεί κατά απόλυτη τιμή το θ e, μέχρις ότου μηδενιστεί. Έστω ότι κάποια χρονική στιγμή η συχνότητα της εισόδου γίνεται ω ref = ω 0 + ω, δηλαδή η φάση του σήματος αναφοράς αρχίζει να προηγείται αυτού της εξόδου. Τότε το u d θα αρχίσει να αυξάνεται λόγω της συσσώρευσης του σφάλματος φάσης, αυξάνοντας, με μια μικρή καθυστέρηση που καθορίζεται από το φίλτρο, τη συχνότητα του VCO μέσω του σήματος u c. Το σφάλμα φάσης αρχίζει να μειώνεται, λόγω της αύξησης της συχνότητας του u 2, οπότε μετά από μία μικρή ταλάντωση η τάση του φίλτρου θα καταλήξει σε μία σταθερή τιμή. Στην τιμή αυτή, ανάλογα με τον τύπο του συγκριτή φάσης και του φίλτρου, το σφάλμα φάσης μπορεί να είναι είτε μηδέν είτε μια πεπερασμένη σταθερά. Όπως φαίνεται από τα παραπάνω, όταν στο PLL η συχνότητα του σήματος εξόδου παρακολουθεί αυτή του σήματος αναφοράς, η τάση του φίλτρου αυξομοιώνεται ανάλογα με τη συχνότητα του σήματος εισόδου. Επειδή η σχέση μεταξύ των δύο τελευταίων μεγεθών είναι σχεδόν γραμμική (όσο καλύτερη σχεδίαση γίνεται στο σύστημα τόσο μεγαλύτερη η γραμμικότητα), όταν εισάγουμε ένα σήμα διαμορφωμένο κατά FM, η τάση u c μας δίνει το αποδιαμορφωμένο σήμα. Μία από τις πιο ενδιαφέρουσες ιδιότητες του PLL είναι η ικανότητά του να καταπιέζει το θόρυβο του σήματος εισόδου. Αν υποθέσουμε ότι το σήμα εισόδου είναι θαμμένο μέσα σε θόρυβο, τότε ο ανιχνευτής φάσης θα αυξάνει και θα μειώνει την τάση εξόδου του σύμφωνα με μία στοχαστική κατανομή. Αυτό θα έχει ως συνέπεια η u d να μεταβάλλεται γύρω από μία μέση τιμή. Επειδή ένα κατωδιαβατό φίλτρο συμπεριφέρεται ουσιαστικά σαν ολοκληρωτής, όσο χαμηλότερη είναι η συχνότητα αποκοπής του, τόσο περισσότερο θα καταπιέζει το θόρυβο και το VCO θα συμπεριφέρεται με τέτοιο τρόπο όπου η φάση του σήματος u 2 (t) θα είναι ίση με τη μέση τιμή της φάσης του u 1 (t). Από τα παραπάνω, μπορούμε εύκολα να συμπεράνουμε ότι το PLL συμπεριφέρεται σαν ένας σερβομηχανισμός ελέγχου της φάσης του σήματος εξόδου u 2 (t). 2.2 Κατηγορίες PLL Τα πρώτα ολοκληρωμένα κυκλώματα PLL που εμφανίστηκαν γύρω στο 1965 ήταν καθαρά αναλογικές συσκευές. Σαν συγκριτής φάσης χρησιμοποιούνταν ένας αναλογικός πολλαπλασιαστής, στη θέση του φίλτρου ήταν ένα παθητικό ή ένα ενεργό RC κύκλωμα και για VCO χρησιμοποιούνταν ένας LC ταλαντωτής. Αυτός ο τύπος ήταν γνωστός ως γραμμικός βρόχος κλειδώματος φάσης (ή linear phase locked loop). Δεδομένου ότι τα επόμενα χρόνια άρχισε να ακμάζει η τεχνολογία των ψηφιακών κυκλωμάτων, το 1970 περίπου εμφανίστηκε ένα ψηφιακό PLL, το οποίο δεν ήταν τίποτ άλλο από ένα υβριδικό κύκλωμα, δηλαδή στη θέση του phase detector υπήρχε μία πύλη EXOR ή ένα JKflip flop. Κατά τ άλλα το υπόλοιπο σύστημα παρέμενε αναλογικό. Λίγα χρόνια αργότερα εμφανίστηκε το πρώτο πλήρως ψηφιακό PLL (All Digital PLL) το οποίο ονομάστηκε έτσι για να διαχωρίζεται από το υβριδικό Digital PLL που περιγράψαμε προηγουμένως. Το 6

17 ADPLL αποτελούνταν αποκλειστικά από ψηφιακά μέρη και έτσι δε γινόταν καθόλου χρήση αντιστάσεων ή πυκνωτών. Αντίστοιχα με τα φίλτρα, τα PLLs μπορούν να φτιαχτούν από λογισμικό. Με αυτό τον τρόπο, δεν υπάρχει ένα ειδικό hardware που αφιερώνεται αποκλειστικά στη λειτουργία του PLL, αλλά οι διάφορες πράξεις γίνονται με τη χρήση ενός υπολογιστικού συστήματος, συνήθως ενός μικροελεγκτή ή ενός DSP. Αυτός ο τύπος PLL ονομάστηκε Software PLL. Ανάλογα με τον τύπο του PLL η αρχιτεκτονική και η λειτουργία του συστήματος διαφέρει. Για να μην μπούμε σε πολλές λεπτομέρειες, κάτι που γίνεται εκτενώς στη διαθέσιμη βιβλιογραφία, στη συνέχεια θα περιγραφεί ένα mixed signal PLL, όπως είναι αυτό που σχεδιάστηκε στα πλαίσια της παρούσας διπλωματικής εργασίας. Μιλώντας αυστηρά, ένα ψηφιακό κύκλωμα είναι mixed signal (μάλιστα κάποιοι θα έλεγαν ότι συμπεριφέρεται σαν αναλογικό ειδικά στις ψηλές συχνότητες), ενώ ένα γραμμικό PLL είναι ένα καθαρά αναλογικό κύκλωμα. [13] 7

18 Κεφάλαιο 3 PLL μικτού σήματος 3.1 Block διάγραμμα Όπως είδαμε στην προηγούμενη παράγραφο τα βασικά μέρη ενός PLL είναι τρία: ο ανιχνευτής φάσης, το φίλτρο και ο ταλαντωτής ελεγχόμενος από τάση. Όταν το PLL λειτουργεί σαν συνθέτης συχνοτήτων, προστίθεται στο σύστημα άλλο ένα block που είναι ο διαιρέτης συχνότητας όπως φαίνεται στο Σχήμα 3.1. Υποθέτοντας ότι ο διαιρέτης συχνότητας παίρνει ένα σήμα στην είσοδό του u 2 με γωνιακή συχνότητα ω 2 θα βγάλει στην έξοδό του ένα σήμα u 2 με γωνιακή συχνότητα ω 2 = ω 2. Συνεπώς, ο ανιχνευτής N φάσης θα πρέπει να συγκρίνει το σήμα αναφοράς με το u 2, δηλαδή η έξοδος του ταλαντωτή όταν κλειδώσει το PLL θα έχει συχνότητα ίση με N φορές τη συχνότητα του σήματος αναφοράς. Αυτή η ιδέα είναι πολύ σημαντική σε εφαρμογές που αφορούν την παραγωγή σημάτων ρολογιού και χρονισμού. Σε αυτές τις εφαρμογές, υπάρχει συνήθως ένα κύκλωμα που παράγει ένα σήμα σταθερής συχνότητας (όπως για παράδειγμα ένας κρύσταλλος). Με τη βοήθεια ενός προγραμματιζόμενου prescaler μπορούμε να πάρουμε από την έξοδο του PLL ένα πλήθος πολλαπλάσιων συχνοτήτων, χωρίς απαραίτητα να είναι ακέραια πολλαπλάσια, ανάλογα με την αρχιτεκτονική του prescaler. 3.2 Δομικά στοιχεία του PLL Αφού έχουμε περιγράψει τη βασική λειτουργία του συστήματος, παρακάτω θα δούμε τα συστατικά στοιχεία ενός PLL. Θα προσπαθήσουμε να περιγράψουμε όσο γίνεται πιο περιληπτικά τα διάφορα είδη ανιχνευτών φάσης, φίλτρων, ταλαντωτών και διαιρετών συχνότητας και σε επόμενο κεφάλαιο, θα γίνει μία πιο λεπομερής περιγραφή των κυκλωμάτων που χρησιμοποιήθηκαν Phase Detectors Ο ανιχνευτής φάσης είναι ένα κύκλωμα το οποίο είναι ικανό να παράγει ένα σήμα ανάλογο της διαφοράς φάσης μεταξύ των δύο σημάτων στην είσοδό του u 1 και u 2. Τα 8

19 u 1 u 2,ω 2 Phase Detector u d u c Voltage Controlled u 2,ω 2 Filter Oscillator Prescaler N Σχήμα 3.1: Το block διάγραμμα ενός PLL μικτού σήματος βασικά κυκλώματα που χρησιμοποιούνται σε PLL μικτού σήματος είναι τρία. Εκτός από το γραμμικό πολλαπλασιαστή, που ήταν το πρώτο κύκλωμα ιστορικά που χρησιμοποιήθηκε σαν phase detector και βρίσκεται σχεδόν αποκλειστικά στα γραμμικά PLL, όταν το PLL μετακινήθηκε στην ψηφιακή περιοχή, ψηφιακοί ανιχνευτές φάσης έγιναν δημοφιλείς όπως είναι η πύλη XOR, το JK-flipflop και φυσικά ο αποκαλούμενος Phase Frequency Detector. Ανιχνευτής φάσης Exclusive OR Ως γνωστόν, τα σήματα στα ψηφιακά PLL είναι πάντα δυαδικά σήματα, όπως για παράδειγμα τετραγωνικοί παλμοί. Υποθέτουμε λοιπόν ότι και το u 1 και το u 2 είναι συμμετρικές τετραγωνικές κυματομορφές. Στο Σχήμα 3.2 φαίνονται οι κυματομορφές του XOR ανιχνευτή φάσης για διαφορετικά σφάλματα φάσης θ e. Για μηδενικό σφάλμα φάσης, τα σήματα u 1 και u 2 έχουν διαφορά φάσης ακριβώς 90, όπως φαίνεται στο Σχήμα 3.2(a). Τότε η έξοδος u d είναι επίσης τετραγωνικός παλμός με συχνότητα διπλάσια της συχνότητας του σήματος αναφοράς, ενώ το duty cycle είναι ακριβώς 50%. Επειδή το υψίσυχνο περιεχόμενο του σήματος αυτού θα φιλτραριστεί από το κατωπερατό φίλτρο του βρόχου, θεωρούμε μόνο τη μέση τιμή του σήματος u d, όπως φαίνεται με διακεκομμένη γραμμή στο Σχήμα 3.2(a). Αυτή η μέση τιμή, ū d, είναι ο αριθμητικός μέσος των δύο λογικών σταθμών. Αν για παράδειγμα η πύλη XOR τροφοδοτείται από 5V τότε η ū d θα είναι σε αυτή την περίπτωση 2.5V. Αυτή η τάση θεωρείται το σημείο ηρεμίας του XOR phase detector και θα αναφέρεται ως ū d = 0 από εδώ και πέρα. Όταν το u 2 καθυστερεί του σήματος αναφοράς u 1, τότε το σφάλμα φάσης θ e γίνεται θετικό εξ ορισμού. Αυτή η περίπτωση φαίνεται στο Σχήμα 3.2(b). Τότε, το duty cycle του u d γίνεται μεγαλύτερο του 50%, οπότε και η αριθμητική μέση τιμή του αυξάνεται (τη θεωρούμε θετική), όπως φαίνεται από τη διακεκομμένη γραμμή στο ίδιο Σχήμα. Από τα παραπάνω, εύκολα μπορούμε να συμπεράνουμε ότι η μέση τιμή ū d φτάνει στο μέγιστό της όταν το σφάλμα φάσης γίνεται +90 και το ελάχιστο όταν θ e = 90. Μέσα στο όριο 90 < θ e < +90, η ū d είναι ανάλογη του θ e και μπορεί να γραφτεί: ū d = K d θ e (3.1) 9

20 Σχήμα 3.2: Κυματομορφές των σημάτων σε ένα XOR ανιχνευτή φάσης. (a) Κυματομορφές με μηδενικό σφάλμα φάσης (θ e = 0). (b) Κυματομορφές με θετικό σφάλμα φάσης (θ e > 0). 10

21 Η απόδοση του ανιχνευτή φάσης XOR εξασθενεί σοβαρά όταν τα σήματα u 1 και u 2 είναι μη συμμετρικά. Όταν συμβεί αυτό, το ū d δε φτάνει ποτέ τη μέγιστη και την ελάχιστη τιμή του, αλλά λαμβάνει ενδιάμεσες τιμές, δηλαδή η τριγωνική κυματομορφή που θα σχημάτιζε, ψαλιδίζεται. Αυτό έχει ως αποτέλεσμα να μειώνεται το κέρδος του βρόχου του PLL και έτσι να υπάρχει μικρότερο εύρος σύλληψης και κλειδώματος (pull-out και lock range). Ανιχνευτής φάσης JK-flipflop Αυτός ο τύπος JK-flipflop διαφέρει από το παραδοσιακό JK-flipflop στο ότι είναι ακμοπυροδοτούμενο. Μία ανερχόμενη παρυφή που θα εμφανιστεί στην είσοδο J θα μεταφέρει την κατάσταση του flipflop σε high (η έξοδος Q θα γίνει 1 ). Αντίθετα, μία ανερχόμενη παρυφή στην είσοδο K θα φέρει το flipflop στην κατάσταση low (Q= 0 ). Το Σχήμα 3.3(a) δείχνει τις κυματομορφές για μηδενικό σφάλμα φάσης θ e. Χωρίς σφάλμα φάσης, τα u 1 και u 2 έχουν αντίθετη φάση. Τότε, το σήμα εξόδου u d αναπαριστά ένα συμμετρικό τετραγωνικό παλμό του οποίου η συχνότητα είναι ίδια με τη συχνότητα αναφοράς (και όχι η διπλάσια όπως στον XOR). Ομοίως, σε αυτή την κατάσταση θεωρούμε ότι ū d = 0. Είναι προφανές, ότι το ū d λαμβάνει τη μέγιστη τιμή όταν το θ e = +180 και την ελάχιστη όταν θ e = 180. Αν κάνουμε τη γραφική παράσταση του ū d ως προς το θ e θα προκύψει μια πριονωτή κυματομορφή. Μέσα στα όρια π < θ e < π to ū d είναι ανάλογο του θ e οπότε ισχύει η σχέση: ū d = K d θ e (3.2) Σε αντίθεση με τον XOR ανιχνευτή, η συμμετρία των u 1 και u 2 δε μας ενδιαφέρει. Αυτό συμβαίνει γιατί η κατάσταση των JK-flipflop αλλάζει μόνο με τις θετικές μεταβάσεις των σημάτων. Στη μη κλειδωμένη κατάσταση, ο ανιχνευτής φάσης JK-flipflop συμπεριφέρεται περίπου σαν τον XOR. Όταν οι γωνιακές συχνότητες ω 1 και ω 2 είναι διαφορετικές, το σήμα εξόδου u d (t) του JK-flipflop phase detector θα περιέχει ένα όρο του οποίου η θεμελιώδης γωνιακή συχνότητα είναι ω 1 ω 2. Οι ανώτερες αρμονικές αφαιρούνται από το φίλτρο. Phase-frequency detector (PFD) Το σχηματικό διάγραμμα του ανιχνευτή φάσης-συχνότητας, φαίνεται στο Σχήμα 3.4. Ο PFD διαφέρει σημαντικά από τους τύπους ανιχνευτών φάσης που είδαμε προηγουμένως. Το όνομά του υποννοεί ότι η έξοδός του εξαρτάται όχι μόνο από το σφάλμα φάσης θ e, αλλά και από το σφάλμα συχνότητας ω = ω 1 ω 2, όταν δεν είναι κλειδωμένο το PLL. Ο PFD αποτελείται από δύο D-flipflops, των οποίων οι έξοδοι δηλώνονται ως UP και DOWN αντίστοιχα. Ο PFD μπορεί να βρεθεί σε μία από τις παρακάτω καταστάσεις: UP=0, DOWN=0 UP=1, DOWN=0 UP=0, DOWN=1 UP=1, DOWN=1 11

22 Σχήμα 3.3: Κυματομορφές των σημάτων για ένα ανιχνευτή φάσης JK-flipflop. (a) Μηδενικό phase error. (b) Θετικό phase error 12

23 Σχήμα 3.4: Σχηματικό διάγραμμα του ανιχνευτή συχνότητας-φάσης Επειδή η τέταρτη κατάσταση είναι απαγορευμένη, στο κύκλωμα υπάρχει μία λογική AND πύλη η οποία κάνει reset τα flipflops όταν οι έξοδοί τους γίνουν ταυτόχρονα 1. Έτσι ο PFD λειτουργεί σαν μία συσκευή τριών καταστάσεων. Αναθέτουμε τους αριθμούς -1, 0, 1 στις τρεις αυτές καταστάσεις ως εξής: UP= 0, DOWN= 1 κατάσταση -1 UP= 0, DOWN= 0 κατάσταση 0 UP= 1, DOWN= 0 κατάσταση 1 Η πραγματική κατάσταση του PFD καθορίζεται από τις θετικές μεταβάσεις των σημάτων u 1 και u 2, όπως φαίνεται και στο διάγραμμα καταστάσεων στο Σχήμα 3.5. Παρατηρούμε πως μία θετική μετάβαση του σήματος u 1 αναγκάζει τον PFD να μεταβεί σε μία από τις επόμενες υψηλότερες καταστάσεις, εκτός αν είναι ήδη στην κατάσταση 1. Αντίστοιχα, μία θετική παρυφή του u 2 αναγκάζει τον PFD να μεταβεί σε μία από τις χαμηλότερες καταστάσεις, εκτός αν είναι στην κατάσταση -1, όπου και παραμένει. Η έξοδος u d είναι μια λογική συνάρτηση της κατάστασης του PFD. Όταν ο PFD είναι στην κατάσταση 1, η u d πρέπει να είναι θετική, όταν είναι -1 πρέπει να είναι αρνητική και μηδέν όταν βρισκόμαστε στην κατάσταση 0. 13

24 Σχήμα 3.5: Διάγραμμα καταστάσεων του PFD Από το σχηματικό διάγραμμα του PFD (Σχήμα 3.4) μπορούμε να δούμε πώς παράγεται το u d. Όταν το σήμα UP είναι high, το PMOS τρανζίστορ άγει, οπότε τραβάει την τάση u d προς την τροφοδοσία U B. Αντίστοιχα, όταν το σήμα DOWN είναι high, το NMOS τρανζίστορ άγει και τραβάει την τάση u d προς τη γη. Όταν και το UP και το DOWN είναι μηδέν, τότε κανένα τρανζίστορ δεν άγει και συνεπώς η έξοδος βρίσκεται σε κατάσταση υψηλής εμπέδησης. Συνεπώς το u d μπορεί να θεωρηθεί ότι είναι ένα τριαδικό σήμα. Για να εξηγήσουμε τη λειτουργία του κυκλώματος ας εξετάσουμε το Σχήμα 3.6. Στην πρώτη περίπτωση, έχουμε τα σήματα u 1 και u 2 με μηδενικό σφάλμα φάσης. Αυτή η κατάσταση είναι θεωρητική, καθώς πάντοτε θα υπάρχει ένα μικρό σφάλμα, το οποίο προκύπτει από μια μικρή ανοχή που έχει ο PFD μέχρι να βγάλει από την αποκοπή ένα από τα δύο τρανζίστορ. Όπως και νάχει, θεωρούμε ότι υπάρχει μηδενικό σφάλμα φάσης και ότι αρχικά βρισκόμαστε στην κατάσταση 0. Τα σήματα u 1 και u 2 είναι σε φάση, δηλαδή οι ανερχόμενες παρυφές τους ταυτίζονται. Σε αυτή την περίπτωση ο ανιχνευτής φάσης-συχνότητας θα παραμείνει στην κατάσταση 0. Στο Σχήμα 3.6(b) βλέπουμε την περίπτωση όπου το u 1 προηγείται, οπότε ο PFD κινείται μεταξύ των καταστάσεων 0 και 1. Εύκολα μπορούμε να συμπεράνουμε από τις κυματομορφές (b) και (c) ότι η έξοδος u d γίνεται μέγιστη όταν το σφάλμα φάσης γίνεται 360 και ελάχιστη όταν θ e = 360. Αν φτιάξουμε τη χαρακτηριστική ū d (θ e ) (βλέπε Σχ. 3.7), θα πάρουμε μία πριονωτή συνάρτηση. Στο Σχήμα 3.7 βλέπουμε επίσης τη μέση τάση ū d για σφάλματα φάσης μεγαλύτερα από 2π και μικρότερα από 2π. Όταν το σφάλμα φάσης θ e υπερβαίνει το 2π, o PFD συμπεριφέρεται σαν να μηδενιζόταν το θ e και έτσι η χαρακτηριστική καμπύλη γίνεται περιοδική με περίοδο 2π. Ένας ανάλογος συλλογισμός μπορεί να γίνει για σφάλματα φάσης μικρότερα του 2π. Όταν το σφάλμα φάσης περιορίζεται στο εύρος 2π < θ e < 2π, η μέση τιμή του σήματος u d, ū d γίνεται: ū d = K d θ e (3.3) 14

25 όπου K d = U B 4π το κέρδος του PFD, όταν οι λογικές στάθμες είναι U B και 0 αντίστοιχα, αλλιώς στη γενική περίπτωση: K d = U sat+ U sat 4π (3.4) Για να δούμε το πλεονέκτημα του PFD έναντι του JK-flipflop, υποθέτουμε ότι αρχικά το PLL δεν είναι κλειδωμένο. Επίσης υποθέτουμε ότι η συχνότητα αναφοράς ω 1 είναι ψηλότερη από τη συχνότητα εξόδου ω 2. Το u 1 δημιουργεί περισσότερες θετικές μεταβάσεις ανά μονάδα χρόνου απ ό,τι το σήμα u 2. Κοιτώντας το Σχήμα 3.5 βλέπουμε ότι ο PFD μεταβαίνει μόνο μεταξύ των state 0 και 1 κάτω από αυτή τη συνθήκη, αλλά δε θα πάει ποτέ στην κατάσταση -1. Επίσης, αν η ω 1 είναι αρκετά ψηλότερη του ω 2, ο PFD θα βρίσκεται στην κατάσταση 1 την περισσότερη ώρα. Όταν όμως η ω 1 είναι μικρότερη της ω 2, ο PFD μεταβαίνει μεταξύ των καταστάσεων -1 και 0, ενώ όταν είναι αρκετά μικρότερη θα παραμένει στο state -1 την περισσότερη ώρα. Συμπεραίνουμε έτσι, ότι το ū d μεταβάλλεται μονοτονικά με το σφάλμα συχνότητας ω = ω 1 ω 2 όταν το PLL δεν είναι κλειδωμένο. Σε αυτό οφείλεται ο όρος ανιχνευτής φάσης-συχνότητας. Να παρατηρήσουμε τέλος ότι όταν οι δύο είσοδοι u 1 και u 2 έχουν την ίδια συχνότητα και είναι σε φάση, τότε οι έξοδοι του ανιχνευτή φάσεως-συχνότητας είναι και οι δύο σε υψηλή στάθμη. Σε αυτή ακριβώς την κατάσταση εξόδου και όταν το σφάλμα φάσης των δύο εισόδων είναι μηδέν, οφείλεται μία σημαντική ιδιότητα του ψηφιακού ανιχνευτή φάσης-συχνότητας που συνίσταται στην ικανότητά του να καταπιέζει της FM αρμονικές κατά το βέλτιστο τρόπο. Ένα πρόβλημα που συναντάται κατά τη σχεδίαση και πρέπει να ελαχιστοποιηθεί είναι το λεγόμενο dead zone. Αυτό οφείλεται στην καθυστέρηση που έχουν τα πραγματικά κυκλώματα, στην προκειμένη περίπτωση την καθυστέρηση που έχουν οι λογικές πύλες και έχει ως αποτέλεσμα να μη μπορεί να ανιχνευτεί μία μικρή διαφορά φάσης των δύο σημάτων στην είσοδό του. Προφανώς η νεκρή ζώνη είναι ανεπιθύμητη σε ένα σύστημα κλειδωμένου βρόχου. Αν η διαφορά φάσης μεταξύ της εισόδου και της εξόδου μεταβάλλεται μεσα στη νεκρή ζώνη, η dc είσοδος του VCO δεν αλλάζει σημαντικά και ο βρόχος δε μπορεί να διορθώσει το σφάλμα. Συνεπώς δημιουργείται ένα jitter στην έξοδο του VCO, με πλάτος περίπου όσο είναι η νεκρή ζώνη Charge pump Δεδομένου ότι όταν χρησιμοποιούμε PFD θέλουμε κάθε φορά που έρχεται παλμός UP να αυξάνουμε την τάση εισόδου του VCO και κάθε φορά που έρχεται DOWN να τη μειώνουμε, μπορούμε να θεωρήσουμε το φίλτρο σαν μία δεξαμενή φορτίου, δηλαδή ένα πυκνωτή στον οποίο εναποθέτουμε ή αφαιρούμε φορτίο κάθε φορά που θέλουμε να μεταβάλλουμε τη συχνότητα του σήματος εξόδου. Αν αντί να χρησιμοποιήσουμε το κύκλωμα που φαίνεται μέσα στο διαγραμμισμένο τετράγωνο στο Σχήμα 3.6, χρησιμοποιήσουμε ένα charge pump, δηλαδή δύο ελεγχόμενες πηγές ρεύματος (Σχ. 3.8), τότε η εναπόθεση γίνεται με πιο γρήγορο και πιο σταθερό ρυθμό. Αυτό οφείλεται στο ότι η ταχύτητα με την οποία φορτίζεται ο πυκνωτής όταν απλά συνδέεται στην τροφοδοσία ή στη γη, εξαρτάται από την τάση του, ενώ με δύο ελεγχόμενες πηγές ρεύματος, η φόρτιση εξαρτάται από το ρεύμα που θα περάσει απ αυτές. Το charge pump συνεπώς είναι ένα 15

26 Σχήμα 3.6: Κυματομορφές των σημάτων για τον PFD. (a) Κυματομορφές με μηδενικό σφάλμα φάσης. (b) Κυματομορφές με θετικό σφάλμα φάσης, δηλαδή το u 1 προηγείται του u 2. (c) Κυματομορφές με αρνητικό σφάλμα φάσης (u 2 προηγείται του u 1 ) 16

27 Σχήμα 3.7: Διάγραμμα μέσης τάσης ū d συναρτήσει του σφάλματος φάσης θ e Σχήμα 3.8: Μοντέλο του Charge Pump κύκλωμα που μεταφράζει τα σήματα UP και DOWN του PFD σε τάση, με τη βοήθεια ενός φίλτρου. Όπως φαίνεται στο κύκλωμα, όταν έρχεται παλμός UP, κλείνει ο διακόπτης S 1 και συνεπώς ρέει ρεύμα στον πυκνωτή (φόρτιση), ενώ όταν έρχεται παλμός DOWN κλείνει ο διακόπτης S 2 και ρέει ρεύμα από τον πυκνωτή στη γη (εκφόρτιση), ενώ στα ενδιάμεσα χρονικά διαστήματα, ο πυκνωτής κρατάει σταθερό το φορτίο του και συνεπώς την τάση του. Οι διακόπτες S 1 και S 2 μπορούν να αντικατασταθούν με ένα PMOS και ένα NMOS τρανζίστορ αντίστοιχα. Στην πρώτη περίπτωση ωστόσο, θα πρέπει να μεσολαβεί μία πύλη NOT μεταξύ του UP και του τρανζίστορ. 17

28 R C U 1 U 2 Σχήμα 3.9: Σχηματικό διάγραμμα απλού RC φίλτρου Φίλτρα Όπως θα δούμε στη συνέχεια στην ανάλυση του PLL σαν σύστημα ελέγχου, η τάξη του συστήματος, δηλαδή ο αριθμός των πόλων της συνάρτησης μεταφοράς του, καθορίζεται από το φίλτρο και είναι όσο η τάξη του συν ένα. Στις περισσότερες περιπτώσεις χρησιμοποιείται φίλτρο πρώτης τάξης, οπότε τα PLLs είναι δεύτερης τάξης. Σε λίγες περιπτώσεις το φίλτρο παραλείπεται, οπότε έχουμε PLL πρώτης τάξης. Μεγαλύτερης τάξης βρόχοι αποφεύγονται, εκτός από ελάχιστες εφαρμογές που το απαιτούν, γιατί αυξάνοντας την τάξη αυξάνεται ταυτόχρονα και η μετατόπιση φάσης των φίλτρων, έτσι είναι πιο εύκολο να μεταβούμε στην αστάθεια. Απλό RC φίλτρο Ένα τέτοιο φίλτρο είναι πρώτης τάξης και έχει την εξής συνάρτηση μεταφοράς: F (s) = sτ, τ = R C (3.5) ενώ το κύκλωμα φαίνεται στο Σχήμα 3.9 Οι επιδόσεις του φίλτρου αυτού είναι περιορισμένες, καθώς η μόνη παράμετρος που υπάρχει είναι το τ, κάτι που εμποδίζει την ανεξάρτητη μεταβολή του παράγοντα απόσβεσης ζ από τη φυσική γωνιακή συχνότητα του συστήματος. Γι αυτό το λόγο δε χρησιμοποιείται στο σχεδιασμό των συστημάτων, ωστόσο πάνω σε αυτό βασίστηκε το φίλτρο ενός πόλου με προήγηση φάσης που θα δούμε στη συνέχεια. Κατωδιαβατό φίλτρο ενός πόλου με προήγηση φάσης Στο Σχήμα 3.10 φαίνεται ένα παθητικό φίλτρο ενός πόλου και ενός μηδενικού. Η ονομασία του στα αγγλικά είναι lead-lag (επίσης μπορεί να συναντηθεί και σαν lag-lead). Όπως φαίνεται από τη συνάρτηση μεταφοράς F (s), το φίλτρο συνδυάζει ένα δίκτυο 18

29 R 1 C 1 U 1 U 2 R 2 Σχήμα 3.10: Σχηματικό διάγραμμα παθητικού lead-lag RC φίλτρου προήγησης φάσης με ένα δίκτυο καθυστέρησης. Η προήγηση φάσης προέρχεται από τον αριθμητή, ενώ η καθυστέρηση από τον παρονομαστή της εξίσωσης 3.6: F (s) = 1 + sτ s(τ 1 + τ 2 ) (3.6) όπου τ 1 = R 1 C και τ 2 = R 2 C. Όλα τα φίλτρα που χρησιμοποιούνται στους βρόχους είναι lead-lag. Ο λόγος είναι ότι με την κατάλληλη επιλογή των στοιχείων R 1, R 2 και C μπορούμε να πάρουμε ανεξάρτητα τιμές για τα τ 1 και τ 2. Ειδικά το μηδενικό που εισάγει το τ 2 παίζει σημαντικό ρόλο στον παράγοντα απόσβεσης του συστήματος, όπως θα δούμε και στη συνέχεια. Ενεργό φίλτρο ενός πόλου και ενός μηδενικού με προήγηση φάσης και κέρδος Αν και στην παρούσα διπλωματική δε χρησιμοποιήθηκε ενεργό φίλτρο, καθώς απαιτείται η χρήση ενός τελεστικού ενισχυτή, κάτι που αυξάνει την πολυπλοκότητα της σχεδίασης και την επιφάνεια που καταλαμβάνει layout, είναι σκόπιμο, για λόγους πληρότητας, να αναφέρουμε τα δύο πιο δημοφιλή ενεργά φίλτρα πρώτης τάξης. Στο Σχήμα 3.11 βλέπουμε ένα ένεργό φίλτρο lead-lag το οποίο έχει την ίδια συνάρτηση μεταφοράς με το παθητικό, με ένα παράγοντα κέρδους K a : όπου τ 1 = R 1 C, τ 2 = R 2 C και K a = C 1 /C 2. F (s) = K a 1 + sτ sτ 1 (3.7) 19

30 C 1 R 1 R 2 C 2 U d U f Σχήμα 3.11: Σχηματικό διάγραμμα ενεργού lead-lag RC φίλτρου R 1 R 2 C U 1 U 2 Σχήμα 3.12: Σχηματικό διάγραμμα ενεργού PI Ενεργό PI φίλτρο Ένα ακόμα ενεργό φίλτρο είναι αυτό που φαίνεται στο Σχήμα 3.12 και ονομάζεται συνήθως PI φίλτρο. Είναι επίσης lead-lag και ο όρος PI προέρχεται από τη θεωρία ελέγχου, όπου αναφέρεται στα αρχικά των λέξεων proportional (ανάλογος) και integral (ολοκληρωτής). Η συνάρτηση μεταφοράς του δίνεται από τη σχέση: F (s) = 1 + sτ 2 sτ 1 (3.8) όπου τ 1 = R 1 C και τ 2 = R 2 C. Όπως φαίνεται από την 3.8, το φίλτρο αυτό έχει ένα πόλο στο 0 και συνεπώς συμπεριφέρεται σαν ολοκληρωτής. Θεωρητικά τουλάχιστον έχει άπειρο κέρδος στη συχνότητα 0. Παθητικό lead-lag φίλτρο με δύο πόλους Τέλος, αξίζει να αναφέρουμε ένα φίλτρο δεύτερης τάξης το οποίο και χρησιμοποιήθηκε στο κύκλωμά μας. Το φίλτρο αυτό, που φαίνεται στο Σχήμα 3.13, είναι η πιο συχνά χρησιμοποιούμενη διάταξη για Charge Pump PLLs και είναι μια μιγαδική αντίσταση παράλληλα με την χωρητικότητα εισόδου του VCO. Οι πηγές ρεύματος του charge pump όπως είπαμε μεταφέρουν φορτία από και προς το φίλτρο, το οποίο μετατρέπει το φορτίο σε τάση για τον έλεγχο του VCO. Ο παράλληλος πυκνωτής C 1 χρησιμοποιείται για να αποφύγουμε όσο γίνεται τα διακριτά βήματα τάσης στην είσοδο του VCO, λόγω των απότομων αλλαγών στο ρεύμα που ρέει στο φίλτρο. Σε κάποιες εφαρμογές που απαιτούν υψηλής απόδοσης συνθέτες συχνοτήτων μπορεί να 20

31 R 1 C 1 U 1 U 2 R 2 C 2 Σχήμα 3.13: Σχηματικό διάγραμμα παθητικού lead-lag φίλτρου με δύο πόλους χρειαστεί να χρησιμοποιήσουμε φίλτρο μεγαλύτερης τάξης, έτσι ώστε να έχουμε μεγαλύτερη απόρριψη των πλευρικών ζωνών αναφοράς που είναι γνωστά ως spurs.[15] Η συνάρτηση μεταφοράς του δευτέρας τάξης φίλτρου είναι η εξής: F (s) = s(c 2 R 2 ) + 1 C 1 C 2 R 1 s 2 + (C 1 + C 2 )s (3.9) Ταλαντωτές ελεγχόμενης συχνότητας Οι ταλαντωτές, κυρίως λόγω της σημασίας τους τόσο στο PLL όσο και σε άλλα κυκλώματα, θα μπορούσαν να αποτελέσουν από μόνοι τους ένα κεφάλαιο. Θα προσπαθήσουμε, ωστόσο, μέσα σε λίγες παραγράφους να περιγράψουμε τη βασική λειτουργία τους και τις βασικές αρχιτεκτονικές που χρησιμοποιούνται συνήθως. Ουσιαστικά υπάρχουν δύο είδη ταλαντωτών: oι ταλαντωτές LC και οι ταλαντωτές δακτυλίου (ή ring oscillators). Η κάθε κατηγορία έχει τα πλεονεκτήματα και τα μειωνεκτήματά της, οπότε ανάλογα με την εφαρμογή και τις προδιαγραφές που δίνονται χρησιμοποιούμε το αντίστοιχο είδος. Ωστόσο, θα ασχοληθούμε λίγο περισσότερο με τους ταλαντωτές δακτυλίου, μιας και ένας από αυτούς χρησιμοποιήθηκε στο κύκλωμα που σχεδιάστηκε Ταλαντωτής LC Ένας LC ταλαντωτής είναι ένα κύκλωμα συντονισμού. Ένα πηνίο παράλληλα με ένα πυκνωτή και μία παρασιτική αντίσταση, αποτελούν το συντονιστή (Σχ. 3.14), που συχνά αποκαλείται και δεξαμενή LC. Η ηλεκτρομαγνητική ταλάντωση προκύπτει από την ανταλαγή ενέργειας στη δεξαμενή LC μεταξύ του πυκνωτή και του πηνίου. Επειδή μέρος της ενέργειας καταναλώνεται στην παρασιτική αντίσταση, χρησιμοποιείται ένα ενεργό δίκτυο για την αναπλήρωσή της. Για να εγγυηθούμε ότι θα έχουμε συνεχόμενη ταλάντωση, το ενεργό δίκτυο σχεδιάζεται έτσι ώστε να παράγει αρνητική αγωγιμότητα 21

32 Σχήμα 3.14: Μοντέλο ταλαντωτή LC Σχήμα 3.15: Μοντέλο ταλαντωτή δακτυλίου μεγαλύτερη από την αγωγιμότητα της αντίστασης, έτσι ώστε η ολική αντίσταση του κυκλώματος να είναι αρνητική. Η συχνότητα ταλάντωσης καθορίζεται από τη δεξαμενή επαγωγής και την τιμή του πυκνωτή Ταλαντωτής δακτυλίου Ένας άλλος τρόπος να υλοποιήσουμε ένα ταλαντωτή είναι ο ταλαντωτής δακτυλίου. Το όνομά του προέρχεται από την αρχιτεκτονική του η οποία αποτελείται από ένα αριθμό ενισχυτών σε ένα βρόχο ανάδρασης, όπως φαίνεται στο Σχήμα Για να ταλαντώσει ο ring oscillator θα πρέπει να ικανοποιείται το κριτήριο Barkhausen, δηλαδή ο ελάχιστος αριθμός ενισχυτών να είναι το τρία έτσι ώστε να υπάρχει μεταβολή της φάσης κατά 180 και το κέρδος του κλειστού βρόχου να είναι μεγαλύτερο της μονάδας. Γενικές ιδιότητες ταλαντωτών δακτυλίου Ο ταλαντωτής δακτυλίου λοιπόν, αποτελείται από N ενισχυτές συνδεδεμένους σε βρόχο ανάδρασης. Από τη στιγμή που το κάθε στάδιο ενίσχυσης συμπεριφέρεται σαν αναστροφέας, θα συνεισφέρει στην καθυστέρηση του σήματος κατά t d και έτσι αναφέρεται και σαν κελί καθυστέρησης. Η συχνότητα μεγάλου σήματος στην οποία θα ταλαντώσει το κύκλωμα είναι: f osc = 1 2Nt d (3.10) όπου N όπως είπαμε είναι ο αριθμός των σταδίων. Ο αριθμός των σταδίων που χρησιμοποιείται, καθορίζεται κυρίως από την κατανάλωση ισχύος και από την απόδοση σε 22

33 phase noise (θα το δούμε στην παράγραφο που περιγράφουμε τα είδη θορύβου). Η συνάρτηση μεταφοράς για ένα τέτοιο ταλαντωτή με αριθμό σταδίων N μπορεί να γραφτεί ως εξής: A N 0 H(s) = ) N (3.11) (1 + sωp η οποία περιλαμβάνει τις συναρτήσεις μεταφοράς του κάθε κελιού καθυστέρησης. Το πρώτο κριτήριο ταλάντωσης Barkhausen προβλέπει ότι πρέπει στο βρόχο να συμβεί μετατόπιση φάσης κατά 180, δηλαδή το κάθε στάδιο συνεισφέρει κατά 180 /N. Η συχνότητα στην οποία συμβαίνει αυτό είναι: tan 1 ( ωosc ω p ) = 180 N ( ) 180 ω osc = ω p tan N (3.12) όπου ω p είναι το -3dB εύρος ζώνης του κάθε σταδίου. Το δεύτερο κριτήριο ταλάντωσης είναι ότι πρέπει το κέρδος του βρόχου να είναι μεγαλύτερο του 1 στη συχνότητα ω osc. Έτσι μπορούμε να υπολογίσουμε το ελάχιστο κέρδος τάσης ανά κελί καθυστέρησης αντικαθιστώντας την εξίσωση 3.12 στη συνάρτηση μεταφοράς του ring oscillator και λύνοντας ως προς A 0. Από τον υπολογισμό αυτό προκύπτει η εξής εξίσωση: ( ) A 0 = 1 + tan (3.13) N Για να εγγυηθούμε ότι θα ξεκινήσει να ταλαντώνει το κύκλωμα θα πρέπει προφανώς να επιλέξουμε κέρδος μεγαλύτερο από το ελάχιστο που μας δίνει η παραπάνω εξίσωση, λόγω των μεταβολών της διαδικασίας και της θερμοκρασίας. Είναι ενδιαφέρον να παρατηρήσουμε ότι η συχνότητα ταλάντωσης μικρού σήματος, όπως προκύπτει από την εξίσωση 3.12, δεν είναι απαραίτητα ίση με τη συχνότητα μεγάλου σήματος της ταλάντωσης. Αυτή η ανακολουθία προκαλείται λόγω του ότι η συχνότητα μικρού σήματος καθορίζεται από τις παραμέτρους μικρού σήματος, όπως είναι η αντίσταση εξόδου και η χωρητικότητα εξόδου του κάθε κελιού, ενώ η συχνότητα μεγάλου σήματος καθορίζεται από την ισοδύναμη αντίσταση του φορτίου και τη χωρητικότητα του κάθε κελιού. Αυτή η διαφορά στη συχνότητα ταλάντωσης θα κάνει τον ταλαντωτή να ξεκινήσει να ταλαντώνει με τη συχνότητα μικρού σήματος, αλλά όσο μεγαλώνει το πλάτος και το κύκλωμα γίνεται περισσότερο μη γραμμικό, η συχνότητα θα μετατοπιστεί προς τη συχνότητα μεγάλου σήματος. Τοπολογίες Τοπολογία single-ended Η βασική single-ended τοπολογία αποτελείται από αντιστροφείς CMOS. Η συχνότητα ρυθμίζεται από την κατανάλωση ρεύματος και τη χωρητικότητα του κάθε κελιού και είναι: f C = 1 µ effw eff C ox V 2 (3.14) 2Nt d 8ηNLq max 23

34 όπου W eff = W n + W p, µ eff = µnw eff +µ πw p W n+w p, q max το μέγιστο φορτίο στην έξοδο, V η φόρτιση του gate στο μέσο της μετάβασης, η μία σταθερά και N το πλήθος των σταδίων. Όπως βλέπουμε, η εξίσωση 3.14 έχει το μήκος του καναλιού των τρανζίστορ, L, στον παρονομαστή. Συνεπώς η μέγιστη συχνότητα καθορίζεται από την τεχνολογία και αυξάνεται όσο μικρότερα γίνονται τα τρανζίστορ. Σε ένα ταλαντωτή δακτυλίου με single ended τοπολογία, η απαίτηση για κέρδος μεγαλύτερο της μονάδας ικανοποιείται πάντα, δεδομένου ότι οι CMOS αντιστροφείς έχουν μεγάλο κέρδος μικρού σήματος. Για να εγγυηθούμε την ταλάντωση συνεπώς, το μόνο που πρέπει να φροντίσουμε είναι να έχουμε περιττό αριθμό κελιών, γιατί το καθένα έχει μετατόπιση φάσης 180. Διαφορική τοπολογία Οι διαφορικοί ταλαντωτές, αποτελούνται από ένα NMOS διαφορικό ζεύγος και ένα φορτίο. Η καθυστέρηση του κάθε κελιού καθορίζεται από το φορτίο στον κάθε κόμβο και το ρεύμα που περνάει από το φορτίο. Το φορτίο μπορεί να είναι είτε ωμικό (χρησιμοποιείται για σταθερή συχνότητα συνήθως) είτε να αποτελείται από PMOS. Τα PMOS αν συνδεθούν ως δίοδοι τότε θα πρέπει να ελέγξουμε τη συχνότητα από το φορτίο του κάθε κελιού, αλλιώς εφαρμόζουμε μια τάση στις πύλες τους. Η συχνότητα των διαφορικών ταλαντωτών μπορεί να υπολογιστεί σύμφωνα με τον παρακάτων τύπο: f C = 1 2NT d = I tail 2ηNq max µ pc ox W p (V control V tp ) 2 2ηNq max L p (3.15) όπου I tail το ρεύμα πόλωσης του διαφορικού ζεύγους. Όπως φαίνεται από την εξίσωση αυτή, η συχνότητα είναι επίσης εξαρτώμενη από την τεχνολογία και αυξάνεται όταν τα τρανζίστορ γίνονται μικρότερα. Όπως θα δούμε και στη συνέχεια, στην παράγραφο που θα περιγράψουμε το phase noise, ο διαφορικός ταλαντωτής έχει μεγαλύτερο θόρυβο φάσης από τον single-ended, για ίση κατανάλωση ισχύος, συχνότητα και αριθμό σταδίων. Επίσης, η single ended τοπολογία καταναλώνει ισχύ μόνο κατά τη μετάβαση των σημάτων (μιας και αποτελείται από πύλες CMOS) και γι αυτό έχει μικρότερο θόρυβο φάσης για δεδομένη κατανάλωση ισχύος. Η διαφορά στο phase noise γίνεται πιο έντονη όσο μεγαλύτερος είναι ο αριθμός των κελιών καθυστέρησης. Ωστόσο, τα διαφορικά κυκλώματα προτιμώνται στα ψηφιακά κυκλώματα καθώς έχουν καλύτερη απόρριψη του κοινού θορύβου (common noise rejection) απ ό,τι τα αντίστοιχα single-ended, ακόμα και αν τα τελευταία έχουν μικρότερο θόρυβο φάσης. Επίσης οι διαφορικοί ενισχυτές εισάγουν μικρότερο θόρυβο στα υπόλοιπα κυκλώματα που βρίσκονται στο ίδιο chip. Τέλος, ένα άλλο πλεονέκτημα των διαφορικών ταλαντωτών δακτυλίου είναι η δυνατότητα να υλοποιηθούν από ζυγό αριθμό κελιών (αρκεί η μία διασύνδεση μεταξύ των κελιών να γίνει ανάστροφα). Έτσι είναι δυνατό να παράγουμε σήματα με διαφορά φάσης 90.[14] 24

35 3.2.7 Αρχιτεκτονικές VCO Στο σημείο αυτό, θα περιγράψουμε την καρδιά του PLL, το κύκλωμα δηλαδή που παράγει το σήμα εξόδου και είναι η κύρια πηγή του θορύβου phase noise του κυκλώματος. Ο λόγος που επιλέχθηκε η χρήση ενός ring oscillator έναντι ενός LC, είναι η μικρότερη επιφάνεια που καταλαμβάνει στο layout, παρότι έχει μεγαλύτερο θόρυβο φάσης. Επίσης, η χρήση διαφορικών πυλών καθυστέρησης βοηθούν στην απόρριψη του common noise και συνεπώς χρησιμοποιούνται σχεδόν αποκλειστικά στα ψηφιακά κυκλώματα έναντι των single ended. Διαφορικά κυκλώματα καθυστέρησης για ταλαντωτές δακτυλίου Σε αυτό το σημείο, θα περιγράψουμε λίγο πιο λεπτομερώς τις τοπολογίες που χρησιμοποιούνται στα διαφορικά VCO για να μπορέσουμε να καταλάβουμε τη δομή του κυκλώματος που χρησιμοποιήθηκε [24]. Όπως γνωρίζουμε η χρήση αντιστάσεων στις CMOS τεχνολογίες δεν έχει υψηλής ποιότητας αντιστάσεις, συνεπώς το φορτίο σε ένα NMOS αντιστροφέα πρέπει να αντικατασταθεί με PMOS στοιχεία. Ενώ ένα PMOS τρανζίστορ όταν λειτουργεί στην περιοχή της τριόδου μπορεί να χρησιμοποιηθεί σαν φορτίο, η τάση στην πύλη του πρέπει να γίνει τέτοια ώστε να μπορεί να περιγραφεί η αντίσταση ακριβώς (Σχ. 3.16(a)). Εναλλακτικά μπορεί να χρησιμοποιηθεί ένα φορτίο συνδεδεμένο σαν δίοδος (Σχ. 3.16(b)), ωστόσο αυτό έχει το κόστος μίας τάσης threshold στο εύρος της τροφοδοσίας. Τέλος, ένα πιο αποδοτικό φορτίο φαίνεται στο Σχ. 3.16(c), όπου ένας NMOS ακόλουθος πηγής τοποθετείται μεταξύ drain και gate κάθε PMOS τρανζίστορ. Με την έξοδο στους κόμβους X και Y, τα M3 και M4 καταναλώνουν μόνο ένα εύρος της τάσης ίσο με V DS3,4. Εάν V GS5 V T H3, τότε το M3 λειτουργεί στα όρια της περιοχής τριόδου και η αντίσταση μικρού σήματος του φορτίου είναι το πολύ ίση με 1/g m3. Το φορτίο του Σχήματος 3.16(c) παρουσιάζει άλλη μία ενδιαφέρουσα ιδιότητα. Από τη στιγμή που η χωρητικότητα πύλης-πηγής του M3 οδηγείται από τον ακόλουθο πηγής, η σταθερά χρόνου που σχετίζεται με το φορτίο είναι μικρότερη απ ό,τι αυτή του φορτίου της διόδου. Επίσης, η πεπερασμένη αντίσταση εξόδου του ακόλουθου μπορεί να ενδώσει μία επαγωγική συμπεριφορά στο φορτίο. Έλεγχος της συχνότητας Επειδή στο PLL πρέπει να ελέγξουμε τη συχνότητα ταλάντωσης του VCO, στα παραπάνω στοιχεία καθυστέρησης πρέπει να υλοποιηθεί ένας τρόπος ελέγχου της καθυστέρησης που εισάγουν στο δακτύλιο. Σε ένα ring oscillator η συχνότητα ταλάντωσης είναι f osc = (2NT d ) 1. Στο κύκλωμα του Σχήματος 3.17, τα M3, M4 λειτουργούν στην περιοχή της τριόδου, με το καθένα να λειτουργεί σαν μεταβλητή αντίσταση που ελέγχεται από την τάση V cont. Όσο γίνεται πιο θετική η V cont, η αντίσταση των M3, M4 αυξάνει, έτσι αυξάνει η σταθερά χρόνου στην έξοδο, τ 1, και μειώνεται η f osc. Εάν τα M3, M4 παραμείνουν στην τρίοδο, τ 1 = R on3,4 C L = C L µ p C ox (W /L) 3,4 (V DD V cont V T HP ) (3.16) 25

36 Σχήμα 3.16: Διαφορικά στάδια καθυστέρησης με PMOS φορτίο 26

37 Σχήμα 3.17: Διαφορικό ζεύγος με μεταβλητή σταθερά χρόνου εξόδου όπου C L στην παραπάνω εξίσωση, η ολική χωρητικότητα που φαίνεται στον κόμβο εξόδου μέχρι τη γη (συμπεριλαμβανομένης και της χωρητικότητας εισόδου του επόμενου σταδίου). Η καθυστέρηση του κυκλώματος είναι σχεδόν ανάλογη του τ 1 και ισχύει: f osc 1 T D 1 τ 1 (3.17) Είναι ενδιαφέρον το ότι η f osc είναι ανάλογη της τάσης ελέγχου V cont. Ωστόσο το κύκλωμα αυτό πάσχει από ένα σοβαρό μειονέκτημα: το πλάτος της ταλάντωσης εξόδου μεταβάλλεται σημαντικά στο εύρος ελέγχου. Για να το αντισταθμίσουμε αυτό, πρέπει να υπάρξει ένα εξωτερικό κύκλωμα το οποίο να διατηρεί το μέγιστο ρεύμα του υποδοχέα των Μ3, Μ4 επί την αντίστασή τους σταθερά. Το κύκλωμα αυτό συνήθως περιλαμβάνει και τελεστικό ενισχυτή, γι αυτό το λόγο η χρήση του απορρίφθηκε έτσι ώστε να κρατηθεί η πολυπλοκότητα της σχεδίασης όσο γινόταν πιο χαμηλά. Ένας άλλος τρόπος ελέγχου της καθυστέρησης, είναι με θετική ανάδραση. Η καθυστέρηση στο κύκλωμα αυτό γίνεται με cross-coupled ζεύγος transistor το οποίο ισοδυναμεί με μία αρνητική αντίσταση 2/g m, μία τιμή που μπορεί να ελεγχθεί από το ρεύμα πόλωσης. Μία αρνητική αντίσταση R N συνδεδεμένη παράλληλα με μία θετική +R P δίνει μία ισοδύναμη τιμή +R N R P /(R N R p ) η οποία είναι πιο θετική όσο όσο R n > + R P. Αυτή η ιδέα μπορεί να εφαρμοστεί στα διαφορικά ζεύγη όπως φαίνεται στο Σχήμα 3.18(a). Στο σχήμα αυτό, το φορτίο του διαφορικού ζεύγους αποτελείται από τις αντιστάσεις R 1 και R 2 (με R 1 = R 2 = R P ) και ένα cross-coupled ζεύγος Μ3-Μ4. Όσο αυξάνει το ρεύμα I 1, η αντίσταση μικρού σήματος 2/g m3,4 γίνεται λιγότερο αρνητική και από το Σχ. 3.18(b), η ισοδύναμη αντίσταση R P ( 1/g m3,4 ) = R P /(1 g m3,4 R P ) αυξάνει και συνεπώς μειώνεται η συχνότητα ταλάντωσης. Ένα σημαντικό στοιχείο στο κύκλωμα του Σχ. 3.18(a) είναι ότι όσο το I 1 μεταβάλλεται, το ίδιο συμβαίνει και με τα ρεύματα που τραβούν τα Μ3, Μ4 από τις αντιστάσεις. Έτσι, το πλάτος της ταλάντωσης εξόδου δεν είναι σταθερό κατά μήκος του εύρους ρύθμισης. Για να ελαχιστοποιήσουμε αυτό το φαινόμενο, το I SS μπορεί να μεταβληθεί στην αντίθετη κατεύθυνση έτσι ώστε το ρεύμα που περνάει από τις αντιστάσεις R 1 και R 2 να παραμένει σταθερό. Με άλλα λόγια, είναι επιθυμητό να μεταβάλλουμε τα I 1 και I SS 27

38 Σχήμα 3.18: (a) Διαφορικό στάδιο με φορτίο μεταβλητής αρνητικής-αντίστασης, (b) Ισοδύναμο ημικύκλωμα του (a) διαφορικά ενώ το άθροισμά τους να είναι σταθερό, ένα χαρακτηριστικό που παρέχεται από το διαφορικό ζεύγος. Όπως φαίνεται στο Σχ. 3.19, η ιδέα είναι να χρησιμοποιήσουμε το ζεύγος M5-M6 για να τραβάμε I T από τα M1-M2 ή M3-M4 έτσι ώστε να ισχύει I SS +I 1 = I T. Από τη στιγμή που πρέπει να περνάει I T από τα R 1 και R 2, εάν τα M1-M4 ταλαντώνουν σε όλο το εύρος τροφοδοσίας (full swinging) σε κάθε κύκλο ταλάντωσης, τότε το I T περνάει όλο από το R 1 μέσω των M1 και M3 στη μισή περίοδο, και την άλλη μισή από το R 2 δίνοντας ένα διαφορικό πλάτος ταλάντωσης 2R P I T. Στο κύκλωμα του σχήματος 3.19, τα V cont1 και V cont2 μπορούν να θεωρηθούν σαν δύο διαφορικές γραμμές ελέγχου αν μεταβάλλονται κατά ίσες και αντίθετες ποσότητες. Μία τέτοια τοπολογία παρέχει μεγαλύτερη αναισθησία στο θόρυβο του σήματος εισόδου απ ό,τι ένα single ended σήμα ελέγχου. Ένα σημαντικό μειονέκτημα της χρήσης του διαφορικού ζεύγους M5-M6 είναι το επιπρόσθετο εύρος τάσης που καταναλώνει. Όπως φαίνεται στο Σχ. 3.20, για να παραμείνει στον κόρο το M5, πρέπει να είναι η V P αρκετά ψηλότερα της V N. Όταν V cont1 = V cont2, η ελάχιστη επιτρεπτή τάση μεταξύ drain-source του M5 είναι ίση με την τάση υπερτροφοδότησης ισορροπίας, υποννοώντας ότι, η τάση τροφοδοσίας πρέπει να είναι μεγαλύτερη από αυτή την τιμή. Να σημειώσουμε επίσης, ότι αν τα V cont1, V cont2 επιτρέπεται να μεταβάλλονται πάνω από την τιμή ισοροπίας περισσότερο από V T H, τότε τα M5, M6 φεύγουν από την τριοδική περιοχή. Η παραπάνω παρατήρηση, δείχνει ένα trade-off μεταξύ του περιθωρίου της τάσης ελέγχου και της ευαισθησίας του VCO. Για να ελαχιστοποιήσουμε την ευαισθησία μέσα στο δεδομένο εύρος ελέγχου, η διαγωγιμότητα των M5, M6 πρέπει να ελαχιστοποιηθεί. Δηλαδή, για να τραβήξουμε όλο το I T, το διαφορικό ζεύγος απαιτεί ένα μεγάλο V cont1 V cont2. Όμως, για ένα δεδομένο I T, g m = 2I D /(V GS V T H ), δείχνοντας ένα μεγάλο equilibrium overdrive για τα M5, M6 και αντίστοιχα μία μεγαλύτερη τιμή για την ελάχιστη απαιτούμενη τάση τροφοδοσίας. Πρέπει να αναφέρουμε ότι το ζεύγος M5, M6 δε χρειάζεται να παραμείνει σε πλήρη κορεσμό. Αν οι τάσεις drain είναι αρκετά χαμηλές για να οδηγήσουν τα τρανζίστορ στην 28

39 Σχήμα 3.19: Η χρήση του διαφορικού ζεύγους για να τραβάμε ρεύμα από τα M1-M2 και M3-M4 Σχήμα 3.20: Υπολογισμός περιθωρίου τάσης για την προηγούμενη τοπολογία 29

40 Σχήμα 3.21: (a) Τοπολογία current folding, (b) Εφαρμογή του current folding στο κύκλωμα περιοχή της τριόδου, τότε η ισοδύναμη διαγωγιμότητα του διαφορικού ζεύγους πέφτει, έτσι παραμένει ένα μεγαλύτερο V cont1 V cont2 για να τραβάει το ρεύμα I T. Αυτό το φαινόμενο στην πραγματικότητα μεταφράζεται σε μία μικρότερη ευαισθησία του VCO. Στην πράξη, πρέπει να κάνουμε προσεκτικές εξομοιώσεις για να σιγουρευτούμε ότι η χαρακτηριστική του VCO παραμένει αρκετά γραμμική στο διάστημα που μας ενδιαφέρει. Για χαμηλές τάσεις τροφοδοσίας, είναι επιθυμητό να αποφύγουμε το περιθώριο τάσης που καταναλώνουν τα M5, M6 στο Σχ Αυτό το πρόβλημα μπορεί να λυθεί με τη λεγόμενο current folding. Υποθέτουμε, όπως φαίνεται στο Σχ. 3.21(a), ότι το διαφορικό ζεύγος οδηγεί δύο καθρέπτες ρεύματος, παράγοντας I out1 και I out2. Αφού I 1 + I 2 = I SS, I out1 = KI 1 και I out2 = KI 2, έχουμε I out1 + I out2 = KI SS. Έτσι, όσο το V in1 V in2 πάει από μία πολύ αρνητική τιμή σε μία πολύ θετική, το I out1 μεταβάλλεται από KI SS στο μηδέν και το I out2 από το μηδέν σε KI SS ενώ το άθροισμά τους παραμένει σταθερό, μία συμπεριφορά παρόμοια με αυτή του διαφορικού ζεύγους Διαιρέτες συχνότητας Οι διαιρέτες συχνότητας χρησιμοποιούνται όταν το PLL παίζει το ρόλο του συνθέτη συχνοτήτων. Όπως είναι προφανές ο διαιρέτης συχνότητας, παίρνει στην είσοδό του ένα σήμα συχνότητας ω 2 και βγάζει στην έξοδό του ένα σήμα με συχνότητα ω 2 = ω 2 /N, όπου N είναι ο παράγοντας διαίρεσης. Συνήθως το κύκλωμα αυτό είναι προγραμματιζόμενο, δηλαδή έχει κάποια σήματα τα οποία καθορίζουν το N. Η πιο συνηθισμένη αρχιτεκτονική είναι flipflops συνδεδεμένα σε σειρά. Το κάθε flipflop διαιρεί τη συχνότητα εισόδου του με τον παράγοντα 2. Δύο flipflops σε σειρά θα διαιρέσουν τη συχνότητα αυτή με το 4 κ.ο.κ. Τυχαίοι παράγοντες διαίρεσης, δηλαδή όχι πολλαπλάσια του 2, μπορούν να επιτευχθούν με τη χρήση λογικών κυκλωμάτων στο κύκλωμα μέτρησης. Ένα τέτοιο κύκλωμα χρησιμοποιήθηκε για το σύστημα που θα περιγράψουμε στη συνέχεια, συνεπώς δε θα επεκταθούμε περισσότερο προς το παρόν. Είναι προφανές ότι ένας μετρητής (counter) μπορεί να υποβιβάσει τη συχνότητα κατά ένα ακέραιο αριθμό. Προφανώς όμως όχι με ένα οποιοδήποτε ρητό, πχ. το Υπάρχουν ωστόσο οι λεγόμενοι κλασματικοί-ν (fractional-n) συνθέτες συχνοτήτων. Δηλαδή, 30

41 κυκλώματα που παράγουν σήματα συχνότητας πχ φορές της συχνότητας αναφοράς. Αυτοί οι κλασματικοί λόγοι παράγονται από μία τεχνική που είναι γνωστή σαν αφαίρεση παλμού (pulse removal). 3.3 Η λειτουργία του PLL στην κλειδωμένη κατάσταση Αν υποθέσουμε ότι το PLL έχει κλειδώσει και παραμένει σε αυτή την κατάσταση για όσο χρόνο το μελετάμε, μπορούμε να περιγράψουμε το σύστημα με τη βοήθεια ενός μαθηματικού μοντέλου. Στη συνέχεια αυτής της παραγράφου, θα χρησιμοποιήσουμε τη συνάρτηση μεταφοράς H(s) του συστήματος, η οποία συσχετίζει τη φάση του σήματος εισόδου θ 1 με τη φάση του σήματος εξόδου του διαιρέτη συχνότητας θ 2, δηλαδή H(s) = Θ 1 (s) Θ 2 (s), όπου Θ 1(s) και Θ 2(s) οι μετασχηματισμοί Laplace των σημάτων φάσης θ 1 (t) και θ 2(t) αντίστοιχα. Η H(s) είναι συνεπώς η συνάρτηση μεταφοράς φάσης, ή πιο απλά η συνάρτηση μεταφοράς του συστήματος, καθώς συσχετίζει την έξοδό του με την είσοδο στο πεδίο των συχνοτήτων Συνάρτηση μεταφοράς του συστήματος Όπως είναι λογικό, για να υπολογίσουμε σε κλειστή μορφή τη συνάρτηση μεταφοράς ενός συστήματος, πρέπει να γνωρίζουμε τις συναρτήσεις μεταφοράς των δομικών του στοιχείων, δηλαδή των block του. Γι αυτό το λόγο κάνουμε τη μαθηματική ανάλυση των στοιχείων του διαγράμματος 3.1, με τη χρήση των σχέσεων που έχουμε ήδη αναφέρει, όπως περιγράφεται στο βιβλίο του Best. Όπως έχουμε ήδη αναφέρει όταν είδαμε τους ανιχνευτές φάσης, η έξοδός τους u d προκύπτει από τη σχέση u d = K d θ e, οπότε το μαθηματικό μοντέλο που τους περιγράφει είναι μηδενικής τάξης με κέρδος K d. Ο μετασχηματισμός Laplace της σχέσης αυτής μας δίνει: U d (s) Θ e (s) = K d (3.18) Τις συναρτήσεις μεταφοράς των φίλτρων τις έχουμε ήδη αναφέρει, αν και εύκολα μπορούν να υπολογιστούν με τη χρήση της θεωρίας κυκλωμάτων. Καλό θα ήταν όμως να εξετάσουμε τη συμπεριφορά του VCO. Όπως έχουμε αναφέρει, η συχνότητα εξόδου του δίνεται από τη σχέση: ω 2 (t) = ω 0 + ω 2 (t) = ω 0 + K 0 u c (t) (3.19) όπου K 0 είναι το κέρδος του και έχει διαστάσεις rad s 1 V 1. Η πληροφορία που χρειαζόμαστε όμως δεν είναι η συχνότητα, αλλά η συνάρτηση που περιγράφει τη φάση των σημάτων. Γι αυτό, ολοκληρώνουμε τη μεταβολή της γωνιακής συχνότητας ω 2 ως εξής: θ 2 (t) = ω 2 (t)dt = K 0 u c (t)dt (3.20) 31

42 Σχήμα 3.22: Σχηματικό διάγραμμα ενός ανιχνευτή συχνότητας PFD με charge pump και παθητικό φίλτρο lead-lag ενός πόλου Χρησιμοποιώντας τις ιδιότητες του μετασχηματισμού Laplace παίρνουμε: Θ 2 (t) = K 0 s U f(s) (3.21) οπότε η συνάρτηση μεταφοράς του VCO δίνεται από τη σχέση: Θ 2 (s) U c (s) = K 0 s (3.22) το οποίο αποτελεί ένα ολοκληρωτή (κατωδιαβατό φίλτρο) για σήματα φάσης. Τέλος, αν γίνει η χρήση διαιρέτη συχνοτήτων, αφού διαιρεί τη συχνότητα της εξόδου του VCO με ένα παράγοντα N, κατά τον ίδιο παράγοντα θα υποβιβάσει και τη φάση του σήματος. Συνεπώς δεν είναι τίποτ άλλο από ένα block με κέρδος 1/N. Έχοντας υπόψη όλα τα παραπάνω, υπολογίζουμε τη συνάρτηση μεταφοράς του PLL, οπότε από το μοντέλο του, για ανοικτό βρόχο παίρνουμε: H(s) openloop = K d F (s)k 0 (3.23) ενώ από τη θεωρία συστημάτων εύκολα προκύπτει ότι για ένα σύστημα με συνάρτηση μεταφοράς ανοικτού βρόχου H(s) openloop και ανάδραση 1/N η συνάρτηση μεταφοράς κλειστού βρόχου είναι: H(s) = K d F (s)k 0 s + K d F (s)k 0 /N (3.24) Για να κάνουμε ανάλυση ενός συστήματος λοιπόν, αρκεί να αντικαταστήσουμε τα κέρδη των παραπάνω στοιχείων και τη συνάρτηση του φίλτρου στην εξίσωση Ας κάνουμε σε αυτό το σημείο ένα παράδειγμα με ένα PLL που έχει phase frequency detector και charge pump με ρεύμα I p για ανιχνευτή συχνότητας και ένα παθητικό leadlag φίλτρο ενός πόλου, δηλαδή μία αντίσταση R σε σειρά με ένα πυκνωτή C παράλληλα στο signal path όπως φαίνεται στο Σχ Συνεπώς έχουμε: K d = I p 2π (3.25) 32

43 και K d F (s) = I ( p R + 1 ) 2π sc Η συνάρτηση μεταφοράς ανοικτού βρόχου θα είναι: Θ 2 = I ( p R + 1 ) K0 openloop 2π sc s = I p(1 + src)k 0 2πs 2 C Θ 1 (3.26) (3.27) Αφού το κέρδος ανοικτού βρόχου έχει δύο πόλους στο 0, η τοπολογία αυτή ονομάζεται PLL τύπου-ιι. Το σύστημα έχει ένα μηδενικό στο ω z = 1/(RC). Η συνάρτηση κλειστού βρόχου είναι: H(s) = Θ 2 = closedloop H(s) = Θ 1 I p 2π R(s + 1 RC )K 0 s 2 + s I pk 0 R 2πN + I pk 0 2πNC ( I p R + 1 ) K 0 2π sc s + I p 2π (R + 1 sc )K 0/N (3.28) Η εξίσωση αυτή είναι μία τυπική δευτεροβάθμια, οπότε μπορούμε να τη γράψουμε στη μορφή H(s) = N(2ζω ns+ωn) 2 s 2 +2ζω n. Η φυσική συχνότητα ω +ωn 2 n θα είναι: και συντελεστή απόσβεσης: ω n = Ip K 0 2πNC (3.29) ζ = ω nrc 2 = R 2 Ip K 0 C 2πN (3.30) Παρατηρούμε από τις δύο παραπάνω εξισώσεις ότι η φυσική συχνότητα του PLL είναι ανεξάρτητη της τιμής της αντίστασης. Είναι επίσης ενδιαφέρον ότι ο συντελεστής απόσβεσης είναι ανάλογος του R. Τέλος, παρατηρούμε ότι και το ω n και το ζ είναι ανεξάρτητα του N. Η decay σταθερά χρόνου είναι: τ d = 1 ζω n = 4πN I p K 0 R (3.31) Μπορούμε να δούμε ότι η σταθερά χρόνου είναι ανεξάρτητη του πυκνωτή C και εξαρτάται μόνο από την αντίσταση. Αυτό είναι λογικό από τη στιγμή που ένας ιδανικός πυκνωτής μπορεί μόνο να φορτίζεται και να εκφορτίζεται, αλλά δεν καταναλώνει 33

44 Σχήμα 3.23: Διάγραμμα Bode του συστήματος που περιγράφεται στην εξίσωση 3.32 ενέργεια, οπότε δε συνεισφέρει στη σταθερά χρόνου απόσβεσης. Αν ο συντελεστής απόσβεσης είναι μικρότερος της μονάδας, ζ < 1, για μία βηματική αλλαγή στη συχνότητα (ή φάση) αναφοράς, η έξοδος θα αποκριθεί με μια ημιτονοειδή κυματομορφή με συχνότητα ω n (1 ζ 2 ) και θα πλησιάζει την τελική της τιμή με μια σταθερά χρόνου τ d. Να σημειώσουμε ότι αν ζ = 2 = 0.707, τότε τ 2 d = ζω n. Τέλος παρατηρούμε ότι η σταθερά χρόνου τ d είναι ανάλογη του N. Μελέτη εύρους ζώνης και ευστάθειας του PLL Όπως είδαμε προηγουμένως, η συνάρτηση μεταφοράς του συστήματος με φίλτρο βρόχου και ανιχνευτή φάσης όπως του Σχ είναι: H(s) openloop = I ( p R + 1 ) K0 2π sc Ns = I p(1 + src)k 0 (3.32) 2πs 2 NC Το εύρος ζώνης (bandwidth) μοναδιαίου κέρδους είναι η τιμή της συχνότητας στην οποία το πλάτος του ανοικτού βρόχου είναι 1, δηλαδή όταν I p(1+src)k 0 = 1. 2πs 2 NC Υποθέτοντας ότι src >> 1 τότε έχουμε το εύρος ζώνης μοναδιαίου κέρδους από τη σχέση: ω c = I pk 0 R 2πN = ω c = 2ζω n (3.33) Το Bode διάγραμμα φαίνεται στο Σχήμα 3.23, στο οποίο βλέπουμε ότι το σύστημα είναι πάντοτε ευσταθές, αρκεί η συχνότητα που βρίσκεται το μηδενικό να είναι μικρότερη της συχνότητας ω c. Στο σύστημα αυτό υπάρχει ένα πρόβλημα. Ο ανιχνευτής φάσης παράγει παλμούς μεταβλητού πλάτους οι οποίοι ενεργοποιούν τους διακόπτες του charge pump και είτε φορτίζεται είτε εκφορτίζεται ο πυκνωτής του φίλτρου. Από τη στιγμή που προσθέσαμε και 34

45 Σχήμα 3.24: To Bode διάγραμμα ενός τρίτης τάξης PLL την αντίσταση R στο φίλτρο, η οποία προφανώς είναι απαραίτητη για την ευστάθεια του συστήματος, η τάση που θα βγάζει το charge pump, V c, θα ανεβοκατεβαίνει αρκετά προτού καταλήξει στην τελική της τιμή. Αυτό συμβαίνει επειδή δε μπορούμε να αλλάξουμε την τάση στον πυκνωτή μεμιάς, οπότε η αρχική πτώση τάσης επιδρά στην αντίσταση, μέσω της οποίας μετά φορτίζεται ο C 1 εκθετικά. Αυτή η αυξομοιούμενη τάση ελέγχου, διαμορφώνει τη συχνότητα του VCO γύρω από τη συχνότητα αναφοράς, δημιουργόντας τις αιχμές αναφοράς. Αν το N είναι 1, αυτό δε δημιουργεί πρόβλημα, μιας και η μεταβολή θα είναι στην ίδια συχνότητα με το VCO. Ωστόσο, όσο μεταλώνει το N, τόσο πιο χαμηλόσυχνο jitter δημιουργείται παράγοντας FM πλευρικές ζώνες. Ο τρόπος για να το διορθώσουμε αυτό, είναι να προσθέσουμε ένα δεύτερο πυκνωτή παράλληλα, τον C 2, του οποίου η λειτουργία είναι να φιλτράρει τη μεταβαλόμενη απόκριση του RC δικτύου. Έτσι, το πλάτος των πλευρικών ζωνών αιχμών αναφοράς μειώνεται. Δυστυχώς όμως, ο C 2 προσθέτει ένα τρίτο πόλο στο σύστημα με πεπερασμένη συχνότητα ο οποίος μειώνει την ευστάθεια του PLL. Έτσι, τα εργαλεία που χρησιμοποιούνται για τη μελέτη του συστήματος ενός δευτέρας τάξης PLL δεν είναι πλέον ακριβή. Μια ματιά στο Bode διάγραμμα στο Σχ θα μας πείσει για αυτό. Η συχνότητα του πόλου δίνεται από την R παράλληλα με τον εν σειρά συνδυασμό των C 1 και C 2. Έτσι, ο πόλος είναι πάντα σε μεγαλύτερη συχνότητα απ ό,τι το μηδενικό. Μπορούμε να δούμε ότι ο πόλος που προστέθηκε μειώνει το phase margin. Στην πραγματικότητα, το phase margin μειώνεται όταν το κέρδος του βρόχου αυξάνει. Από τη στιγμή που το δευτέρας τάξης μοντέλο που χρησιμοποιεί τα ω n και ζ δεν ισχύει πλέον για την περιγραφή της συμπεριφοράς του συστήματος, πρέπει να χρησιμοποιήσουμε ένα διαφορετικό τρόπο για να συσχετίσουμε τη συχνότητα crossover και το περιθώριο φάσης με το χρόνο καταστάλαξης (settling time). Το διάγραμμα 3.25(a) [21] μας δίνει αυτή τη σχέση. Σε αυτό βλέπουμε τη βηματική απόκριση ενός βρόχου. Το σφάλμα φάσης f είναι κανονικοποιημένο σε ένα βήμα συχνότητας, f step και αποτυπώνεται συναρτήσει ενός κανονικοποιημένου άξονα του χρόνου, f c t, όπου το f c δείχνει τη συχνότητα μοναδιαίου κέρδους. Οι τρεις καμπύλες, αντιστοιχούν σε διαφορετικά περι- 35

46 θώρια φάσης ϕ m. Σύμφωνα με το σχήμα αυτό, το περιθώριο φάσης 50 παράγει μεγαλύτερο overshoot, αλλά καταλήγει πιο γρήγορα στην τελική τιμή απ ό,τι το περιθώριο των 65 Προφανώς αν μπορεί το σύστημα να παράγει αυτό το overshoot είναι καλύτερη επιλογή, αλλιώς σχεδιάζουμε το σύστημα με ϕ m = 65, ωστόσο θα πρέπει να μεγαλώσουμε το f c για να πετύχουμε τον ίδιο χρόνο κλειδώματος. Τέλος, το περιθώριο φάσης 30 δε φαίνεται να προσφέρει κάποιο πλεονέκτημα. Γενικά το ϕ m επιλέγεται μεταξύ 45 και 60 ανάλογα με την εφαρμογή. Στο Σχήμα 3.25(b) βλέπουμε το χρόνο κλειδώματος για διαφορετικά περιθώρια φάσης σε μία λογαριθμική κλίμακα. Στον κάθετο άξονα έχουμε το ln( f(f c t) /f step ). Αυτό μας δίνει το υπολοιπόμενο σφάλμα f σε μία βηματική απόκριση της συχνότητας f step συναρτήσει ενός κανονικοποιημένου άξονα του χρόνου f c t. Για να δούμε πώς μπορούμε να χρησιμοποιήσουμε το διάγραμμα αυτό, υποθέτουμε ότι θέλουμε το σύστημα να έχει κλειδώσει με ακρίβεια 0.1% σε 1us. Το ln(0.001) ισούται με -6.9 και αν επιλέξουμε ϕ m = 50, τότε από το διάγραμμα παίρνουμε f c t lock 1.7. Συνεπώς το μοναδιαίο εύρος ζώνης του συστήματος θα πρέπει να είναι f c = 1.7. Στο 10 6 s Σχήμα 3.25(b) βλέπουμε για διαφορετικά περιθώρια φάσης το χρόνο που κλειδώνει το σύστημα. Το διάγραμμα αυτό είναι επίσης από τον Vaucher [21]. Όπως εύκολα διακρίνουμε, ο ελάχιστος χρόνος καταστάλαξης επιτυγχάνεται για ϕ m = 51. Αυτό το περιθώριο φάσης είναι λίγο πριν το όριο στο οποίο οι πόλοι του κλειστού συστήματος συμπίπτουν στη συχνότητα f c. Έτσι, πρέπει να έχουμε υπόψη μας ότι αυξάνοντας το περιθώριο φάσης του PLL δε σημαίνει ότι θα κλειδώνει και πιο γρήγορα και συνεπώς η επιλογή μεγάλου ϕ m θα πρέπει να γίνει συνειδητά μόνο όταν έχουμε συγκεκριμένο λόγο. Ας δούμε όμως τώρα πώς θα μπορούσαμε να χρησιμοποιήσουμε τα παραπάνω για τη σχεδίαση του PLL. Η συνάρτηση μεταφοράς του φίλτρου των δύο πόλων προκύπτει ότι είναι: F (s) = 1 ( R + 1 ) 1 (1 + s/ω z ) = (3.34) sc 2 sc 1 C 1 + C 2 s(1 + s/ω p3 ) οπότε το κέρδος του ανοικτού βρόχου H(s) openloop θα είναι: H(s) = I p K 0 2πN(C 1 + C 2 )s (1 + s/ω z) 2 (1 + s/ω p3 ) (3.35) όπου ω z = 1 RC 1 και ω p3 = C 1+C 2 RC 1 C 2. Το επόμενο βήμα είναι να υπολογίσουμε τις συχνότητες που πρέπει να βρίσκεται το μηδενικό και ο ένας πόλος, έτσι ώστε να έχουμε το επιθυμητό περιθώριο φάσης. Μία καλή προσέγγιση είναι να χρησιμοποιήσουμε το διάγραμμα Bode (Σχ. 3.24) για να θέσουμε τις συχνότητες αυτές γύρω από τη συχνότητα crossover κατά ένα παράγοντα k. Ο παράγοντας αυτός έχει υπολογιστεί από τον Shaeffer [22] ότι είναι: 1 + sin(ϕ m ) k = (3.36) 1 sin(ϕ m ) Όπως περιγράψαμε προηγουμένως τα f c και ϕ m καθορίζονται από τα διαγράμματα του Vaucher, ανάλογα με το χρόνο κλειδώματος που θέλουμε. Αφού αποφασίσουμε το 36

47 Σχήμα 3.25: Η μεταβατική χαρακτηριστική για το κλείδωμα του βρόχου για διαφορετικές τιμές του περιθωρίου φάσης ϕ m κανονικοποιημένη ως προς f c t 37

48 ϕ m που θα έχει το σύστημά μας, υπολογίζουμε το k από τη σχέση Σε αυτό το στάδιο μπορούμε πλέον να υπολογίσουμε τα στοιχεία του φίλτρου. Για να το κάνουμε αυτό, χρησιμοποιούμε τη συνάρτηση μεταφοράς του ανοικτού βρόχου: s 1 + I p K 0 ω H(s) openloop = z 2πN(C 1 + C 2 )s s (3.37) ω p3 και συνεπώς, το κέρδος στη συχνότητα ω c θα είναι: H(s) = = I p K 0 2πN(C 1 + C 2 )ω 2 c ( ) 2 ωc ω z ( ωp3 ω c ) 2 = (3.38) I p K 0 2πN(C 1 + C 2 )ωc k = 1 (3.39) k 2 Από την εξίσωση αυτή υπολογίζουμε το C T = C 1 + C 2 οπότε και: ω p3 ω z = k 2 = C 1 + C 2 RC 1 C 2 RC 1 = C T C 2 (3.40) C 2 = C T k 2 (3.41) C 1 = C T C 2 (3.42) R = 1 ω z C 1 (3.43) 3.4 Περιοχές κλειδώματος του PLL Υποθέτοντας ότι αρχικά το σύστημα ήταν κλειδωμένο, αν η συχνότητα εισόδου μεταβληθεί μέσα σε ένα εύρος ± ω L, τότε το PLL διατηρεί το κλείδωμά του. Η περιοχή αυτή ονομάζεται περιοχή κλειδώματος (lock range) του συστήματος. Αν ωστόσο η συχνότητα μεταβληθεί εκτός του εύρους αυτού, αλλά σε ένα διάστημα μικρότερο του ± ω P O, τότε θα βρεθεί σε μία κατάσταση όπου θα ξεκλειδώσει, αλλά θα είναι σε θέση να ξανακλειδώσει μετά από ένα χρονικό διάστημα. Το εύρος αυτό το ονομάζουμε περιοχή pull-out. Η περιοχή pull-in αναφέρεται στην κατάσταση όπου το PLL είναι αρχικά ξεκλείδωτο, αλλά η συχνότητα του σήματος αναφοράς είναι τέτοια ώστε μπορεί να κλειδώσει μετά από ένα χρονικό διάστημα, ωστόσο αυτή η διαδικασία είναι σχετικά αργή. Τέλος να αναφέρουμε το διάστημα hold-in, το οποίο αποτελεί το στατικό όριο ευστάθειας του συστήματος. Αυτό σημαίνει ότι αν μεταβάλουμε τη συχνότητα εισόδου αργά, 38

49 Σχήμα 3.26: Στατικά και δυναμικά όρια ευστάθειας ενός γραμμικού δευτέρας τάξης PLL το σύστημα μπορεί να κρατήσει το κλείδωμά του. Αν όμως βγούμε έξω από το διάστημα ± ω τότε η έξοδος θα σταματήσει να ακολουθεί τη συχνότητα εισόδου, θα ξεκλειδώσει και δε θα μπορέσει να κλειδώσει πάλι. Για τα PLLs που χρησιμοποιούν PFD και charge pump, το όριο αυτό καθορίζεται αποκλειστικά από το όριο των συχνοτήτων που μπορεί να παράγει το VCO. Γενικά, τόσο το εύρος διατήρησης του κλειδώματος, όσο και το εύρος σύλληψης, περιορίζονται από το εύρος συχνοτήτων του VCO σε αυτό το είδος των PLL. Αυτός είναι άλλωστε και ο λόγος που αυτός ο τύπος PLL έγινε τόσο δημοφιλής. Χρησιμοποιώντας το μοντέλο του PLL που φαίνεται στο Σχήμα 3.1, μπορούμε να υπολογίσουμε το εύρος pull-out. Σε αυτή την περίπτωση, όταν σαν ανιχνευτή φάσης χρησιμοποιούμε PFD, το εύρος ω P O καθορίζεται από το συχνοτικό βήμα που θα κάνει το σφάλμα φάσης να ξεπεράσει το 2π. Επειδή η μέση τιμή ū d είναι γραμμική στο όριο 2π < θ e < 2π, μπορούμε να υπολογίσουμε το ω P O σε κλειστή μορφή. Όπως περιγράφεται στο βιβλίο του Roland Best [13] το εύρος αυτό θα είναι: ( ) ζ 1 ζ2 ω P O = πω n exp tan 1 1 ζ 2 ζ Αντίστοιχα το όριο hold-in ω H θα είναι ζ < 1 = πω n e ζ = 1 ( ) ζ = πω n exp ζ > 1 ζ2 1 tanh 1 ζ2 1 ζ ω H = K 0K d 2π N 39 (3.44)

50 Το όριο lock-in ω L θα είναι: ω L 4πζω n (3.45) ενώ όταν το PLL κλειδώνει γρήγορα, τα σήματα u d και u c κάνουν μια αποσβενόμενη ταλάντωση (για ζ < 1), της οποίας η γωνιακή συχνότητα είναι περίπου ω n. Επειδή τα μεταβατικά σβήνουν σε περίπου ένα κύκλο της ταλάντωσης αυτής, είναι λογικό να πούμε ότι μια χοντρική προσέγγιση του χρόνου κλειδώματος είναι: T L 2π ω n (3.46) Ο χρόνος T L αναφέρεται συχνά και σαν χρόνος καταστάλαξης (settling time). Επειδή η διαδικασία pull-in είναι μια μη γραμμική διαδικασία, καθώς αρχικά το PLL δεν είναι κλειδωμένο, και ο χρόνος κλειδώματος εξαρτάται από την αρχική του κατάσταση και από την απόσταση της συχνότητας του VCO από τη συχνότητα αναφοράς, ο υπολογισμός της είναι εξαιρετικά δύσκολος. Γι αυτό το λόγο παρατίθεται ενδεικτικά μία προσέγγισή που έγινε από τον Fuding Ge [16]: Έστω ότι ω 0 είναι το αρχικό σφάλμα συχνότητας. Τότε ο χρόνος σύλληψης για ένα PLL με παθητικό φίλτρο lead-lag με ένα πόλο, δηλαδή ο χρόνος που απαιτείται για να κλειδώσει, θα είναι: όπου T p = ω 0/K F 2πN Nπω z (3.47) K F = I pk 0 R = NK (3.48) 2π Συνεπώς ο χρόνος σύλληψης μπορεί να γραφτεί: T p = ω 0/K 2π πω z (3.49) To ω z καθορίζεται από το φίλτρο και είναι ω z = 1/RC. Συνεπώς: T p = RC( ω ( ) 0/K 2π) 2N ω0 = C 2R π I p K 0 ( ω0 = 2 ) (3.50) ω z ω 2 n Από τη σχέση 3.50 μπορούμε να δούμε ότι ο χρόνος T p είναι ανάλογος του πυκνωτή C. Επίσης το ω n είναι ανάλογο του 1/ C, το οποίο σημαίνει ότι όσο μεγαλύτερος είναι ο πυκνωτής, τόσο μικρότερο το εύρος ζώνης και τόσο μεγαλύτερος ο χρόνος σύλληψης του PLL. Επίσης μπορούμε να δούμε ότι η αύξηση του R μπορεί να οδηγήσει σε μείωση του T p. Για ένα πρόχειρο υπολογισμό, πολύ χοντρικά, ο χρόνος pull-in υπολογίζεται με βάση τον τύπο T p 4π ω n. 40

51 Κεφάλαιο 4 Θόρυβος στα PLLs Όπως όλα τα κυκλώματα και γενικά τα συστήματα στη φύση είναι επιρρεπή στο θόρυβο, το ίδιο ισχύει και για το PLL. Γενικά ο θόρυβος προκύπτει από το κάθε block του συστήματος, και προέρχεται τόσο από το εσωτερικό του (ανιχνευτές φάσης, φίλτρο, διαιρέτης συχνοτήτων), όσο και από το εξωτερικό του (αυξομοιώσεις της θερμοκρασίας, μη σταθερή τροφοδοσία, παρεμβολές από άλλα κυκλώματα που είναι στο ίδιο chip ή κοντά του και ηλεκτρομαγνητικά κύματα που μεταφέρονται στον αέρα). Μιας και το σύστημά μας πρέπει να συγκρίνει με όσο γίνεται μεγαλύτερη ακρίβεια τη φάση μεταξύ του σήματος αναφοράς με την έξοδο του VCO, αυτό που μας ενδιαφέρει περισσότερο δεν είναι ο προσθετικός θόρυβος στο σήμα εξόδου, αλλά αν η φάση του σήματος εξόδου είναι σωστή. Ωστόσο αυτό δεν είναι πάντα εφικτό, καθώς τόσο ο προσθετικός θόρυβος σε όλα τα σήματα ελέγχου του VCO και ο ενδογενής μηχανισμός του ταλαντωτή, όσο και η μη σωστή σχεδίαση δημιουργούν αυξομοιώσεις στη συχνότητα. Στη συνέχεια θα ασχοληθούμε με τους θορύβους που επηρεάζουν τα αναλογικά ολοκληρωμένα κυκλώματα και πώς αυτοί εμφανίζονται στα συστήματα των PLL μέσω του θορύβου φάσης (phase noise) και των αιχμών αναφοράς (reference spurs). Θα ασχοληθούμε με την αιτία που τα προκαλεί, με τον τρόπο που ελαττώνονται, με τους τρόπους μέτρησης και τα φαινόμενα που προκαλούν στην επίδοση του συστήματος. 4.1 Τύποι θορύβου Τα αναλογικά σήματα που επεξεργάζονται από αναλογικά ολοκληρωμένα κυκλώματα, πάσχουν από δύο διαφορετικούς τύπους θορύβου: το θόρυβο που προκαλείται από τα στοιχεία του κυκλώματος και τον εξωτερικό θόρυβο του περιβάλλοντος. Ο τελευταίος αναφέρεται σε κάποιες, φαινομενικά τουλάχιστον, τυχαίες επιδράσεις που επηρεάζουν το κύκλωμα μέσω των γραμμών τροφοδοσίας και της γείωσης ή μέσω του υποστρώματος. Σε αυτό το σημείο θα επικεντρωθούμε στο θόρυβο που προκαλείται από τις ηλεκτρονικές συσκευές εντός του κυκλώματος. 41

52 Σχήμα 4.1: Θερμικός θόρυβος αντίστασης Θερμικός θόρυβος Θερμικός θόρυβος αντίστασης Η τυχαία κίνηση των ηλεκτρονίων σε ένα αγωγό εισάγει αυξομοιώσεις στην τάση που μετριέται στο μήκος του, ακόμα και αν το μέσο ρεύμα είναι μηδέν. Έτσι το φάσμα του θερμικού θορύβου είναι ανάλογο της απόλυτης θερμοκρασίας. Όπως βλέπουμε στο Σχήμα 4.1, ο θερμικός θόρυβος μίας αντίστασης μπορεί να αναπαρασταθεί με μία εν σειρά πηγή τάσης, με μονόπλευρη φασματική πυκνότητα: S v (f) = 4kT R f 0 (4.1) όπου k = J/K η σταθερά Boltzmann. Οι μονάδες του S v (f) είναι V 2 /Hz. Έτσι, γράφουμε Vn 2 = 4kT R, όπου η μπάρα υποδηλώνει τη μέση τιμή. Η παραπάνω εξίσωση πάντως, δεχνει ότι ο θερμικός θόρυβος είναι λευκός. Στην πραγματικότητα όμως, η S v (f) είναι επίπεδη μέχρι περίπου τα 100THz και στις μεγαλύτερες συχνότητες πέφτει. Προφανώς για τις εφαρμογές μας το μοντέλο του θερμικού θορύβου είναι αρκετά ακριβές. Επίσης, δεδομένου ότι ο θερμικός θόρυβος είναι τυχαίος, η πολικότητα της πηγής του Σχήματος 4.1 δεν έχει σημασία. Όπως και νάχει, άμα επιλέξουμε πολικότητα, θα πρέπει να την κρατήσουμε σε όλη την ανάλυση του κυκλώματος για να έχουμε σωστά αποτελέσματα. Η εξάρτηση του θερμικού θορύβου από τη θερμοκρασία, δηλώνει ότι η λειτουργία των αναλογικών κυκλωμάτων σε χαμηλές θερμοκρασίες θα είναι η βέλτιστη ως προς αυτή την παράμετρο. Η προσέγγιση αυτή γίνεται ακόμα πιο ελκυστική όταν δούμε ότι η κινητικότητα των φορέων φορτίου στις συσκευές MOS αυξάνει σε χαμηλές θερμοκρασίες, γύρω στα 25. MOSFETs Τα τρανζίστορ MOS πάσχουν και αυτά από θερμικό θόρυβο. Η πιο σημαντική πηγή, είναι ο θόρυβος που παράγεται στο κανάλι. Μπορεί να αποδειχθεί ότι για MOS μεγάλου καναλιού, τα οποία λειτουργούν στην περιοχή του κόρου, ο θόρυβος του καναλιού μπορεί να μοντελοποιηθεί με μία πηγή ρεύματος η οποία συνδέει το drain με το source με πυκνότητα φάσματος: I 2 n = 4kT γg m (4.2) 42

53 Σχήμα 4.2: (a) Το layout ενός MOSFET που δείχνει τις αντιστάσεις των ακροδεκτών, (b) Μοντέλο του κυκλώματος, (c) Κατανεμημένη αντίσταση πύλης Ο συντελεστής γ (προσοχή να μην μπερδευτεί με το συντελεστή του φαινομένου σώματος), είναι περίπου 2/3 για τρανζίστορ μεγάλου καναλιού και μπορεί να πάρει μεγαλύτερες τιμές για MOSFETs υπομικρομέτρου. Οι ωμικές περιοχές του MOSFET συνεισφέρουν και αυτές σε θερμικό θόρυβο. Όπως φαίνεται στο Σχήμα 4.2(a), τα υλικά της πύλης της πηγής και της υποδοχής παρουσιάζουν πεπερασμένη ωμική αντίσταση και συνεπώς θερμικό θόρυβο. Για ένα σχετικά πλατύ τρανζίστορ, η αντίσταση της πηγής και της υποδοχής είναι τυπικά αμελητέα, ενώ η κατανεμημένη αντίσταση της πύλης μπορεί να γίνει εμφανής. Στο μοντέλο θορύβου του Σχήματος 4.2(b), μία συγκεντρωμένη αντίσταση R 1 αναπαριστά την κατανεμημένη αντίσταση της πύλης. Όταν δούμε όμως το ολόκληρο το transistor σαν την κατανεμημένη δομή στο 4.2(c) παρατηρούμε ότι τα στοιχεία που βρίσκονται προς τα αριστερά βλέπουν μόνο το θόρυβο ενός κλάσματος του R G ενώ προς τα αριστερά βλέπουν ολόκληρο το θόρυβο. Συνεπώς περιμένουμε η συγκεντρωμένη αντίσταση του μοντέλου θορύβου να είναι μικρότερη από την R G. Στην πραγματικότητα αποδεικνύεται ότι R 1 = R G /3. Ενώ ο θερμικός θόρυβος του καναλιού ελέγχεται μόνο από τη διαγωγιμότητα της συσκευής, η επιρροή του R G μπορεί να μειωθεί με το κατάλληλο layout. Όπως φαίνεται στο Σχήμα 4.3(a), Η πύλη μπορεί να συνδεθεί και στις δύο πλευρές της ή να αναδιπλωθεί (Σχ. 4.3(b)). Η κάθε τεχνική μειώνει την R G κατά ένα παράγοντα του 4. Θόρυβος flicker Η διεπαφή μεταξύ του οξειδίου της πύλης και το υπόστρωμα πυριτίου σε ένα MOSFET εμπεριέχει ένα ενδιαφέρον φαινόμενο. Όταν ο κρύσταλος του πυριτίου φτάνει στο τέλος του στη διεπαφή αυτή, εμφανίζονται αρκετοί κρεμόμενοι δεσμοί, αυξάνοντας τις επιπλέον ενεργειακές καταστάσεις. Όταν οι φορείς φορτίου κινούνται προς αυτή, μερικοί παγιδεύονται τυχαία και αργότερα ελευθερώνονται από αυτά τα στάδια ενέργειας, εισάγοντας έτσι flicker θόρυβο στο ρεύμα του υποδοχέα. Εκτός της παγίδευσης, ερευ- 43

54 Σχήμα 4.3: Η μείωση της αντίστασης βάζοντας επαφές και στις δύο πλευρές του gate και η αναδίπλωση του transistor Σχήμα 4.4: Το φάσμα του θορύβου flicker νούνται και άλλοι μηχανισμοί που μπορεί να εισάγουν flicker θόρυβο. Σε αντίθεση με το θερμικό θόρυβο, η μέση ισχύς του flicker θορύβου δε μπορεί να προβλεφθεί εύκολα. Ανάλογα με την καθαρότητα της διεπαφής οξειδίου-πυριτίου, μπορεί να λάβει διαφορετικές τιμές και συνεπώς διαφέρει από τεχνολογία σε τεχνολογία. Ωστόσο, μπορούμε να τον μοντελοποιήσουμε πιο εύκολα σαν μία πηγή τάσης σε σειρά με την πύλη και έτσι παίρνουμε τον τύπο: V 2 n = K 1 C ox W L f (4.3) όπου K είναι μία σταθερά που εξαρτάται από την process και είναι της τάξης του V 2 F. Να σημειωθεί ότι υποθέτουμε ένα εύρος ζώνης 1Hz στη σημειογραφία μας. Είναι ενδιαφέρον, όπως φαίνεται στο Σχ. 4.4, ότι η πυκνότητα φάσματος του θορύβου είναι αντιστρόφως ανάλογη της συχνότητας. Για παράδειγμα, το φαινόμενο του εγκλωβισμούαπελευθέρωσης συμβαίνει κυρίως στις χαμηλές συχνότητες. Για αυτό το λόγο ο θόρυβος flicker ονομάζεται επίσης και 1/f θόρυβος. Να σημειωθεί ότι η εξίσωση 4.3 δεν εξαρτάται από το ρεύμα πόλωσης ή τη θερμοκρασία. Φυσικά αυτή είναι μία προσέγγιση, ενώ στην πραγματικότητα η εξίσωση του θορύβου είναι πολύ πιο πολύπλοκη. Η αντιστρόφως ανάλογη εξάρτηση της σχέσης 4.3 με το W L δείχνει ότι για να μειωθεί ο 1/f θόρυβος, η επιφάνεια του τρανζίστορ πρέπει να αυξηθεί. Είναι συνηθισμένο λοιπόν να χρησιμοποιούνται transistors αρκετών χιλιάδων τετραγωνικών μικρόμετρων σε εφαρμογές χαμηλού θορύβου. Επίσης, τα PMOS θεωρείται ότι έχουν χαμηλότερο 1/f θόρυβο απ ό,τι τα NMOS, επειδή τα πρώτα κουβαλούν τις οπές σε ένα θαμμένο κανάλι, δηλαδή σε μία απόσταση από τη διεπαφή οξειδίου-πυριτίου. Ωστόσο, αυτή η διαφορά 44

55 Σχήμα 4.5: Η έννοια της γωνίας συχνότητας του θορύβουflicker μεταξύ PMOS και NMOS δεν έχει παρατηρηθεί με συνέπεια. Γενικά ο θόρυβος 1/f γίνεται πολύ μεγάλος στις χαμηλές συχνότητες. Ωστόσο, σε αυτές τις συχνότητες τα πειράματά μας θα έπρεπε να κρατάνε μερικές βδομάδες και κάτι τέτοιο δε μας επιτρέπει να ξεχωρίσουμε τον flicker θόρυβο από τις μεταβλολές της θερμοκρασίας ή το γέρασμα των συσκευών. Εξάλλου στις περισσότερες εφαρμογές δεν έχουμε τόσο χαμηλόσυχνα σήματα. Για να ποσοτικοποιήσουμε τη σημασία του 1/f θορύβου σε σχέση με το θερμικό για μία δεδομένη συσκευή, σχεδιάζουμε και τις δύο πυκνότητες φάσματος στους ίδιους άξονες (Σχ. 4.5). Το σημείο τομής των δύο φασμάτων το ονομάζουμε corner frequency και είναι ένα μέτρο για το ποιό μέρος των συχνοτήτων επηρεάζεται περισσότερο από το flicker θόρυβο. Για να βρούμε την γωνία του θορύβου 1/f, f c του ρεύματος εξόχου εξισώνουμε τα δύο φάσματα: 4kT ( ) 2 3 g m = οπότε λύνοντας ως προς f c παίρνουμε: f c = K C ox W L 1 gm 2 (4.4) f c K C ox W L 3 8kT g m (4.5) Η εξίσωση αυτή υπονοεί ότι η f c γενικά εξαρτάται από τις διαστάσεις της συσκευής και το ρεύμα πόλωσης. Ωστόσο, από τη στιγμή που για ένα δεδομένο L η εξάρτηση είναι σχετικά ασθενής, η γωνία του 1/f θορύβου είναι σχετικά σταθερή και κινείται στην περιοχή των 500kHz μέχρι το 1MHz για τα τρανζίστορ υπομικρομέτρου. 4.2 Ο θόρυβος στα συστήματα ταλαντωτών Σε κάθε σύστημα ταλάντωσης, η σταθερότητα της συχνότητας είναι κρίσιμης σημασίας. Με τον όρο σταθερότητα εννοούμε τόσο τη βραχύχρονη, όσο και τη μακρόχρονη σταθερότητα. Στην πρώτη περίπτωση, αναφερόμαστε στις μεταβολές που συμβαίνουν στο χρονικό διάστημα ενός δευτερολέπτου ή και λιγότερο. Οι μεταβολές αυτές μπορεί να είναι είτε τυχαίες, είτε να έχουν μια περιοδικότητα. Για την ανάλυσή τους μπορούμε να χρησιμοποιήσουμε ένα αναλυτή φάσματος. Η μακροχρόνια σταθερότητα αναφέρε- 45

56 Σχήμα 4.6: Φάσμα ενός σήματος ταλαντωτή ται στο πώς μεταβάλεται ένα σήμα εξόδου στη διάρκεια μερικών ωρών, ημερών ή και μηνών. Στο Σχήμα 4.6 βλέπουμε ένα φάσμα που περιέχει τόσο τυχαία όσο και διακριτά συχνοτικά μέρη, κάτι που το κάνει να απλώνεται σε ένα εύρος συχνοτήτων και να περιέχει και διάφορες κορυφές/αιχμές. Τα διακριτά συχνοτικά στοιχεία δημιουργούνται κυρίως από γνωστές συχνότητες ρολογιών που υπάρχουν στο ίδιο κύκλωμα, παρεμβολές της τροφοδοσίας ή προϊόντα μίξης. Το ομοιόμορφο φάσμα γύρω από την κεντρική συχνότητα, οφείλεται σε τυχαίες αυξομοιώσεις του θορύβου και λέγεται θόρυβος φάσης. Ο θόρυβος φάσης προκαλείται από θερμικό θόρυβο, shot noise και από flicker noise σε ενεργά και παθητικά στοιχεία του κυκλώματος. 4.3 Θόρυβος φάσης στα VCO Προτού κοιτάξουμε το θόρυβο φάσης σε ένα σύστημα PLL, θα δούμε πώς δημιουργείται σε ένα VCO. Ένα ιδανικό VCO, δε θα είχε καθόλου θόρυβο φάσης. Αν βλέπαμε το φάσμα του σε ένα αναλυτή φάσματος, θα προέκυπτε μία φασματική γραμμή, με τη μορφή της κρουστικής συνάρτησης, κάτι που είναι λογικό, μιας και η έξοδος θα αποτελούνταν από μία μόνο συχνότητα. Φυσικά στην πραγματικότητα δε συμβαίνει αυτό. Αυτό που γίνεται είναι να υπάρχει στο πεδίο του χρόνου ένα jitter στην έξοδο, κάτι που στο πεδίο της συχνότητα θα μεταφραστεί σε θόρυβο φάσης και μπορούμε να τον μετρήσουμε πάλι με ένα φασματικό αναλυτή. 46

57 4.3.1 Η εξίσωση του Leeson Ο Leeson, ανέπτυξε μία εξίσωση για να περιγράψει τα διαφορετικά στοιχεία θορύβου σε ένα VCO: [ ( ) ] 2 F kt 1 f0 L P M = 10 log (4.6) A όπου L P M είναι η μία πλευρική πυκνότητα θορύβου φάσης (dbc/hz), F ο παράγοντας θορύβου του ηλεκτρονικού στοιχείου αυτού σε επίπεδο ισχύος A, k η σταθερά του Boltzmann, T η θερμοκρασία σε, A η ισχύς εξόδου του ταλαντωτή(w), Q L το φορτωμένο Q (χωρίς διαστάσεις), f 0 η συχνότητα του φορέα του ταλαντωτή και f m η απόσταση της συχνότητας από το φορέα. Για να ισχύει η εξίσωση του Leeson, θα πρέπει να ισχύουν τα παρακάτω: Η συχνότητα f m να είναι μεγαλύτερη από τη συχνότητα της γωνίας του flicker noise, 1/f Ο παράγοντας θορύβου στο επίπεδο ισχύος που δουλεύει το κύκλωμα να είναι γνωστός 2Q 2 L Η λειτουργία της συσκευής να είναι γραμμική Το Q να συμπεριλαμβάνει τις απώλειες των στοιχείων, τη φόρτωση της συσκευής και του buffer Στον ταλαντωτή να χρησιμοποιείται μόνο ένας συντονιστής (resonator) Θεωρητικά, η πυκνότητα της ισχύος του θορύβου αποτελείται από ίσα πλάτη στοιχείων που είναι διαμορφωμένα κατά AM και PM. Αυτό θα σήμαινε ότι η ολική πυκνόητα ισχύος του θορύβου είναι διπλάσια από αυτή που δίνεται παραπάνω. Όμως, στην πραγματικότητα, ο θόρυβος PM υπερισχύει στις συχνότητες που είναι κοντά στο φορέα, ενώ ο AM υπερισχύει στις συχνότητες που είναι πιο μακρυά του. Η εξίσωση του Leeson μπορεί να εφαρμοστεί μόνο στην περιοχή του γονάτου μεταξύ του σπασίματος f 1 στη μετάβαση από τον 1/f flicker θόρυβο μέχρι τη συχνότητα f 2, εκεί δηλαδή που αρχίζει να επικρατεί ο λευκός θόρυβος. Αυτό φαίνεται στο Σχήμα 4.7. Η συχνότητα f 1 θα έπρεπε να είναι όσο το δυνατόν χαμηλότερα. Τυπικά είναι λιγότερο από 1kHz, ενώ η f 2 βρίσκεται στην περιοχή των MHz. Οι ταλαντωτές υψηλών επιδόσεων απαιτούν ειδικά στοιχεία που να έχουν χαμηλή 1/f μεταβατική συχνότητα Θόρυβος φάσης στους ταλαντωτές δακτυλίου Σε ένα single ended ταλαντωτή δακτυλίου, αν το κάθε κελί έχει σχεδιαστεί να είναι όσο γίνεται πιο συμμετρικό, δηλαδή το μέγεθος των NMOS και PMOS είναι τέτοιο ώστε να επιτευχθεί ίδιος χρόνος ανόδου και καθόδου, ο θόρυβος φάσης στην 1/f 2 περιοχή μπορεί να εκφραστεί από την εξίσωση: L( ω) = 8 kt 3η P f m V dd V char ω 2 0 ω 2 (4.7) 47

58 Σχήμα 4.7: Θόρυβος φάσης σε ένα VCO συναρτήσει της συχνότητας f m όπου V char η τάση overdrive του gate, ω η offset συχνότητα, ω 0 η κεντρική συχνότητα και P η κατανάλωση ισχύος στο φορτίο. Να σημειωθεί ότι η έκφραση αυτή δεν εξαρτάται από τον αριθμό των σταδίων. Στη διαφορική τοπολογία η αντίστοιχη έκφραση είναι η: L( ω) = 8 3η N kt P ( VDD V char + V DD R L I tail ) ω 2 0 ω 2 (4.8) Από την εξίσωση αυτή προκύπτει ότι ο θόρυβος φάσης εξαρτάται από τον αριθμό των σταδίων N που χρησιμοποιείται, αν η κατανάλωση ισχύος είναι σταθερή. Όταν συγκρίνουμε τις δύο προηγούμενες εξισώσεις, μπορούμε να δούμε ότι ο διαφορικός ταλαντωτής δακτυλίου έχει N(1 + V char /(R L I tail )) φορές μεγαλύτερο επίπεδο θορύβου φάσης απ ό,τι ο single-ended, για ίση κατανάλωση ισχύος, συχνότητα και αριθμό σταδίων. Η single-ended τοπολογία, όντας CMOS, καταναλώνει ισχύ σε κάθε μετάβαση μόνο και έτσι έχει καλύτερο θόρυβο φάσης για μία δεδομένη κατανάλωση ισχύος. Η διαφορά στο θόρυβο φάσης γίνεται ακόμα μεγαλύτερη όταν αυξάνεται ο αριθμός των σταδίων. 4.4 Το phase noise στο PLL Στο Σχήμα 4.8 φαίνονται τα στοιχεία που συνεισφέρουν κυρίως σε θόρυβο φάσης μέσα σε ένα PLL. Όπως έχουμε ήδη αναφέρει, η συνάρτηση μεταφοράς κλειστού βρόχου του συστήματος μπορεί να περιγραφεί από την παρακάτω εξίσωση: H = G 1 + GD (4.9) 48

59 Σχήμα 4.8: Τα στοιχεία που συνεισφέρουν στο θόρυβο φάσης όπου G = K dk 0 F (s), δηλαδή η συνάρτηση μεταφοράς ανοικτού βρόχου και D(s) = 1 s N δηλαδή το στοιχείο ανάδρασης. Συνεπώς το κέρδος του κλειστού βρόχου θα είναι: H(s) = K d K 0 F (s) s 1 + K dk 0 F (s) Ns (4.10) Από εδώ και πέρα θα χρησιμοποιούμε τον όρο N ref για να περιγράψουμε το θόρυβο που εμφανίζεται στο σήμα αναφοράς. Η καθαρότητα του σήματος αυτού, εξαρτάται από τα κυκλώματα παραγωγής, ενίσχυσης, διαίρεση της συχνότητας και γενικά από οτιδήποτε παρεμβάλεται στο signal path του σήματος αναφοράς. Με N div εννοούμε το θόρυβο που προσθέτει ο διαιρέτης συχνότητας και εμφανίζεται στην είσοδο του ανιχνευτή φάσης. Αντίστοιχα N P D είναι ο θόρυβος που προσθέτει ο ανιχνευτής φάσης (όπως για παράδειγμα η νεκρή ζώνη του PFD) και τέλος, N V CO ο θόρυβος που δημιουργεί το VCO και περιγράφεται από την εξίσωση του Leeson. Η γενική συμπεριφορά της εξόδου σε θόρυβο φάσης περιγράφεται από τη σχέση των παραπάνω μεγεθών. Όλες οι παραμορφώσεις στην έξοδο προστίθενται (τα rms μεγέθη τους) για να βρούμε τον ολικό θόρυβο του συστήματος. Έτσι: Ntotal 2 = X 2 + Y 2 + Z 2 (4.11) όπου, N total είναι η συνολική ισχύς του θορύβου στην έξοδο, X 2 είναι η ισχύς του θορύβου στην έξοδο λόγω των N div και N ref θορύβων, Y 2 είναι η ισχύς του θορύβου στην έξοδο λόγω του N P D και Z 2 η ισχύς του θορύβου λόγω του N V CO. Οι όροι του θορύβου στις εισόδους του ανιχνευτή φάσης, θα αντιμετωπιστούν με τον ίδιο τρόπο που αντιμετωπίζονται τα χρήσιμα σήματα και θα πολλαπλασιαστούν με το κέρδος κλειστού βρόχου του συστήματος. ( ) 2 G X 2 = (Nref 2 + Ndiv) 2 (4.12) 1 + GD Στις χαμηλές συχνότητες ισχύει GD >> 1 και X 2 = (N 2 ref + N 2 div ) N 2. Αντίστοιχα στις ψηλές συχνότητες, εκτός του εύρους ζώνης G << 1 και X

60 Η συνεισφορά του θορύβου του ανιχνευτή φάσης στο θόρυβο εξόδου μπορεί να υπολογιστεί αναγάγοντας το N P D στην είσοδο του PFD. Ο ισοδύναμος θόρυβος στην είσοδο του ανιχνευτή φάσης είναι N P D /K d. Αυτή η ποσότητα αν πολλαπλασιαστεί με το κέρδος του κλειστού βρόχου μας δίνει: ( ) 2 ( ) 2 1 G Y 2 = N P D (4.13) K d 1 + GD Τέλος, η συνεισφορά του θορύβου του ταλαντωτή, N V CO στο θόρυβο φάσης εξόδου υπολογίζεται με παρόμοιο τρόπο. Το κέρδος σε αυτή την περίπτωση είναι απλώς 1. Συνεπώς: ( ) 2 Z 2 = NV 2 1 CO (4.14) 1 + GD To G είναι το κέρδος του κλειστού βρόχου, είναι μία κατωπερατή συνάρτηση, δηλαδή στις χαμηλές συχνότητες το κέρδος είναι μεγάλο, ενώ στις ψηλές είναι μικρό. Το D είναι μία σταθερά, 1/N. Συνεπώς ο παρονομαστής της παραπάνω εξίσωσης έχει κατωπερατές ιδιότητες, οπότε ο θόρυβος είναι ουσιαστικά υψιπερατά φιλτραρισμένος από τον κλειστό βρόχο. Να σημειώσουμε ότι η απόκριση του κλειστού συστήματος είναι ένα χαμηλοπερατό φίλτρο με συχνότητα αποκοπής -3dB την ω B, δηλαδή το εύρος ζώνης του συστήματος. Για συχνότητες στην έξοδο μικρότερες του ω B, οι κυρίαρχοι όροι του phase noise της εξόδου είναι τα X και Y, δηλαδή οι όροι του θορύβου που προέρχονται από το θόρυβο αναφοράς, το θόρυβο του phase detector και το θόρυβο του διαιρέτη. Κρατώντας χαμηλά τα N div και N ref, χρησιμοποιώντας ένα μεγάλο κέρδος του ανιχνευτή φάσης K d και μικρό N θα ελαχιστοποιήσει το θόρυβο φάσης μέσα στο εύρος ζώνης του βρόχου. Προφανώς η επιλογή του παράγοντα διαίρεσης, δεν είναι επιλογή του σχεδιαστή για να μειώσει το θόρυβο φάσης, αλλά αποτελεί προδιαγραφή για την εφαρμογή, μιας και αυτό καθορίζει τις συχνότητες που θα παράγει ο συνθέτης συχνοτήτων. Για συχνότητες αρκετά μεγαλύτερες του εύρους ζώνης ω B, ο όρος του θορύβου που επικρατεί είναι αυτός που παράγει το VCO, N V CO. Αυτό οφείλεται στο υψιπερατό φιλτράρισμα του θορύβου από το βρόχο. Θα ήταν σκόπιμο να σχεδιάσουμε το βρόχο έτσι ώστε να έχουμε μία μικρή τιμή του ω B μιας και θα ελαχιστοποιούσε το συνολικό θόρυβο της εξόδου. Ωστόσο ένα μικρό ω B θα είχε ως αποτέλεσμα το σύστημα να έχει αργή μεταβατική απόκριση και αυξημένη συμμετοχή του phase noise του VCO μέσα στο εύρος ζώνης του συστήματος. Έτσι η επιλογή του ω B θα πρέπει να είναι μία στάθμιση της μεταβατικής απόκρισης και του συνολικού phase noise στην έξοδο., 4.5 Μέτρηση του θορύβου φάσης Ο πιο κοινός τρόπος μέτρησης του phase noise είναι με τη χρήση ενός υψίσυχνου αναλυτή φάσης. Στο Σχήμα 4.9 βλέπουμε τη μορφή της καμπύλης που θα παίρναμε σε μια τέτοια μέτρηση. Με τον αναλυτή φάσης, θα μπορούσαμε να δούμε μόνο τι μία πλευρά της πυκνότητας φάσματος των διακυμάνσεων της φάσης ανά μονάδα συχνότητας. Η μονή πλευρική 50

61 Σχήμα 4.9: Ορισμός του phase noise ζώνη της ισχύος του θορύβου φάσης, μετριέται σε db σε σχέση με το φορέα (carrier) σε μία δεδομένη συχνότητα από αυτόν και έχει μονάδες (dbc/hz). 4.6 Reference Spurs Σε ένα PLL του οποίου η συχνότητα εξόδου είναι ακέραιο πολλαπλάσιο της συχνότητας εισόδου, οι αιχμές αναφοράς (reference spurs) προκαλούνται από το γεγονός ότι η έξοδος του charge pump ανανεώνεται με το ρυθμό της συχνότητας αναφοράς. Κοιτώντας το block διάγραμμα του PLL (Σχ. 3.1, σελ. 9), μπορεί να θεωρήσουμε ότι όταν το σύστημα είναι κλειδωμένο τα σήματα έχουν μηδενική ή πολύ μικρή διαφορά φάσης και συνεπώς ο PFD δεν παράγει σήματα UP και DOWN. Στην πραγματικότητα όμως, η τάση του φίλτρου δε διατηρείται τελείως σταθερή, μιας και ένα μικρό ρεύμα ρέει μέσω του charge pump προς τη γη ακόμα και όταν τα transistors είναι off. Το ρεύμα αυτό ονομάζεται ρεύμα διαρροής από τον αγγλικό όρο leakage current. Αυτή η αυξομείωση της τάσης που οδηγεί το VCO, διαμορφώνει την έξοδό του με συχνότητα f ref. Το φαινόμενο αυτό, στο πεδίο των συχνοτήτων, παράγει στο φάσμα κάποιες αιχμές σε ακέραια πολλαπλάσια της συχνότητας αναφοράς. 4.7 Ρεύμα διαρροής του charge pump Όπως αναφέραμε στην προηγούμενη παράγραφο, όταν το charge pump του συνθέτη συχνοτήτων βρίσκεται στην κατάσταση ψηλής αντίστασης, θεωρητικά δε θα έπρεπε να ρέει ρεύμα. Στην πραγματικότητα όμως, σε μερικές εφαρμογές, η ένταση του ρεύματος διαρροής θα έχει επίδραση στη συμπεριφορά του βρόχου. Για παράδειγμα, σε μία εφαρμογή όπου το PLL χρησιμοποιείται σε ανοικτό βρόχο για διαμόρφωση συχνότητας, ένας απλός και φθηνός τρόπος να υλοποιήσουμε FM, κάτι που επιτρέπει επίσης ψηλότερους 51

62 ρυθμούς δεδομένων απ ό,τι αν διαμορφώναμε με κλειστό το βρόχο. Επειδή το VCO έχει συνήθως μεγάλη ευαισθησία (το κέρδος του VCO, ανάλογα με την εφαρμογή μπορεί να κυμαίνεται μεταξύ μερικών δεκάδων MHz και μερικών εκατοντάδων), οσοδήποτε μικρή αυξομείωση της τάσης ελέγχου του θα προκαλούσε τη μετατόπιση του φορέα της συχνότητας. Αυτή η μετατόπιση της τάσης, και συνεπώς της συχνότητας εξόδου του συστήματος, είναι άμεσα εξαρτώμενη από το ρεύμα διαρροής του charge pump στην κατάσταση που είναι κλειστό. Θα προκαλεί τη φόρτιση ή εκφόρτιση του πυκνωτή του φίλτρου ανάλογα με την πολικότητα του ρεύματος. Για να καταλάβουμε τη σημασία του φαινομένου αυτού θα δούμε ένα παράδειγμα. Υποθέτουμε ότι το ρεύμα διαρροής είναι 1nA και προκαλεί την αποφόρτιση ενός πυκνωτή 1000pF κατά dv /dt = I/C, δηλαδή σε αυτή την περίπτωση 1mV/ms. Έστω ότι το κέρδος του VCO είναι 50MHz/Volt, μία ποσότητα που δε θεωρείται ιδιαίτερα μεγάλη. Η αποφόρτιση σε αυτές τις συνθήκες θα είχε σαν αποτέλεσμα τη μετατόπιση της συχνότητας κατά 50kHz. Η πραγματικότητα μπορεί να είναι αρκετά διαφορετική, ανάλογα με τη συχνότητα αναφοράς, το κέρδος του VCO και το μέγεθος του φίλτρου, ωστόσο αυτό το παράδειγμα μας δίνει μια γενική εικόνα. [17] Σαν λύσεις μπορούμε να πούμε ότι η χρήση ενός μεγαλύτερου πυκνωτή στο φίλτρο θα απέτρεπε τις μεγάλες αυξομοιώσεις. Κάτι τέτοιο όμως θα άλλαζε τη συμπεριφορά του συστήματος. Ακόμα και αν υπολογίζαμε τα κέρδη να είναι τέτοια ώστε να μην περάσει το σύστημα σε αστάθεια, μία αύξηση του πυκνωτή του φίλτρου θα αύξανε και τη φυσική συχνότητα του συστήματος, με αποτέλεσμα να κλειδώνει σε μεγαλύτερο χρόνο. Επίσης, η λύση της μείωσης του κέρδους του φίλτρου ώστε να γίνει πιο αναίσθητο σε μεταβολές της τάσης ελέγχου του δεν είναι πάντα εφικτή, αφού θα απαιτούσε να μικρύνουμε το εύρος των συχνοτήτων που θα μπορούν να παραχθούν. Για αυτούς τους λόγους, έχουν αναπτυχθεί κυκλώματα τα οποία αντισταθμίζουν την εκφόρτιση του πυκνωτή όσο είναι κλειδωμένο το σύστημα. Κάτι τέτοιο φυσικά αυξάνει την πολυπλοκότητα του σχεδιασμού, ωστόσο ίσως να είναι η μόνη λύση σε περιπτώσεις που δεν έχουμε άλλη επιλογή. Δύο παραδείγματα τέτοιων κυκλωμάτων μπορεί να βρει ο αναγνώστης στη βιβλιογραφία [18, 19] 52

63 Κεφάλαιο 5 Σχεδίαση του PLL 5.1 Μεθοδολογία σχεδίασης Στη συνέχεια θα παρουσιάσουμε το κύκλωμα που σχεδιάστηκε στα πλαίσια της διπλωματικής εργασίας. Αρχικά θα περιγραφούν ένα ένα τα blocks που το αποτελούν, σε επίπεδο σχηματικού, καθώς επίσης και τα χαρακτηριστικά τους, θα δούμε την απόκριση του βρόχου και τα αποτελέσματα των εξομοιώσεων. Γενικά, η σχεδίαση ενός συστήματος PLL εξαρτάται από την εφαρμογή που θα χρησιμοποιηθεί. Διαφορετικός σχεδιασμός θα γίνει για ένα PLL που θα ανακτά το ρολόι από ένα θορυβώδες κανάλι, απ ό,τι για ένα PLL που θα είναι συνθέτης συχνοτήτων στον οποίο η συχνότητα αναφοράς για παράδειγμα θα έχει ελάχιστο θόρυβο, ωστόσο θα πρέπει το σύστημα να κλειδώνει σχετικά γρήγορα. Γι αυτούς τους λόγους, δεν υπάρχει διαδικασία που να περιγράφει αλγοριθμικά τη διαδικασία σχεδίασης. Ωστόσο, επειδή η βασική λειτουργία είναι σχετικά ίδια σε όλες τις εφαρμογές, υπάρχουν κάποια βήματα που μας δίνουν μία κατεύθυνση για να ξεκινήσουμε τη σχεδίαση. Αρχικά πρέπει να προσδιορίσουμε ποιές είναι οι συχνότητες που θα δουλεύει το σύστημα, τόσο στην είσοδο όσο και στην έξοδό του. Υπάρχουν συστήματα που τόσο η είσοδος όσο και η έξοδος είναι σταθερές, αλλά δεν ταυτίζονται, Σε άλλες εφαρμογές, όπως στους συνθέτες συχνοτήτων, η είσοδος είναι πάντα σταθερή, αλλά η έξοδος μεταβάλλεται με το μηχανισμό που περιγράψαμε σε προηγούμενο κεφάλαιο. Τέλος, σε άλλες εφαρμογές, τόσο η είσοδος όσο και η έξοδος είναι μεταβλητές. Στη συνέχεια θα πρέπει να προσδιορίσουμε τον παράγοντα διαίρεσης αν η συχνότητα εξόδου θα πρέπει να είναι πολλαπλάσια αυτής της εισόδου. Επίσης, αν η έξοδος είναι μεταβλητή, θα πρέπει να αναζητήσουμε ένα προγραμματιζόμενο διαιρέτη συχνότητας, αλλιώς ένας σταθερός μας αρκεί. Όταν το PLL χρησιμοποιείται για σαν συνθέτης συχνοτήτων, θα πρέπει να προσδιορίσουμε το βήμα μεταβολής των συχνοτήτων εξόδου, και συνεπώς το εύρος του προγραμματιζόμενου διαιρέτη. Όταν το N είναι μεταβλητό, θα πρέπει να λάβουμε υπόψη ότι οι παράγοντες ω n και ζ εξαρτώνται από αυτό. Συνεπώς θα πρέπει να προβλέψουμε μήπως τυχόν κάτι τέτοιο οδηγεί το σύστημα στην αστάθεια ή επηρεάζει την ταχύτητα σύγκλισης. Μετά θα πρέπει να μελετήσουμε την απόκριση του συστήματος στο πεδίο των συχνοτήτων. Μία πρώτη εκτίμηση αρκεί για να θέσουμε κάποιους ελαστικούς περιορισμούς 53

64 στο κύκλωμά μας και μετά να αναθεωρήσουμε σε περίπτωση που δεν βγαίνει κάτι. Μεταξύ των άλλων παραμέτρων, θα πρέπει να μελετήσουμε και το εύρος ζώνης του θορύβου, το οποίο εξαρτάται άμεσα από το SNR. Μία άλλη παράμετρος που μας ενδιαφέρει είναι η φυσική συχνότητα του συστήματος ω n και το ζ. Ανάλογα με τις τιμές τους θα πρέπει να σχεδιάσουμε το κέρδος του βρόχου και τη συχνότητα αποκοπής του φίλτρου. Οι υπολογισμοί αυτοί γίνονται για μία μέση τιμή του N. Επίσης θα πρέπει να ελέγξουμε αν θα πρέπει το PLL να καταπιέζει το θόρυβο της εισόδου. Σε αυτή την περίπτωση, οι διάφοροι ανιχνευτές φάσης συμπεριφέρονται διαφορετικά στην παρουσία θορύβου. Στην περίπτωση που οι ακμές του σήματος αναφοράς χαθούν, οι ακμοπυροδοτούμενοι ανιχνευτές φάσης, όπως το JK-flipflop ή ο PFD θα μένουν στην ίδια κατάσταση για αρκετή ώρα κάτι που θα δημιουργούσε ανεπιθύμητα αποτελέσματα. Συνεχίζοντας τη σχεδίαση, θα πρέπει να προσδιορίσουμε τη χαρακτηριστική λειτουργίας και τα όρια του VCO. Δεδομένου ότι η κεντρική συχνότητα και το εύρος του διαιρέτη N είναι γνωστά, μπορούμε εύκολα να υπολογίσουμε το εύρος των συχνοτήτων του VCO. Τέλος, αφού έχουμε επιλέξει τον τύπο του ανιχνευτή φάσης που θα χρησιμοποιήσουμε μπορούμε να προσδιορίσουμε και τον τύπο του φίλτρου. Η απόκρισή του θα πρέπει να γίνει με προσεκτική μελέτη της συνάρτησης μεταφοράς ολόκληρου του συστήματος, δηλαδή αφού έχουμε σχεδιάσει τα υπόλοιπα κυκλώματα, θα πρέπει να κάνουμε μία ανάλυση με τη βοήθεια της θεωρίας συστημάτων. Αυτό το σημείο είναι καθοριστικό για την ευστάθεια και τη μεταβατική συμπεριφορά του PLL. Αφού κάνουμε την παρακάτω ανάλυση, μπορούμε να υπολογίσουμε τις δυναμικές ιδιότητες του συστήματος, για να έχουμε μία ιδέα πώς αποκρίνεται το σύστημα σε μεταβατικά φαινόμενα. 5.2 Phase Frequency Detector Ο παραδοσιακός PFD είναι αυτός που φαίνεται στο Σχήμα 3.4. Τη λειτουργία του την έχουμε ήδη εξηγήσει, οπότε για υπενθύμιση να αναφέρουμε ότι αποτελείται από δύο D-flipflops και μία πύλη NAND. Το σήμα αναφοράς και το σήμα εξόδου του διαιρέτη συνδέεται στην είσοδο clk των flipflops, ενώ οι D είσοδοι συνδέονται στο λογικό 1 (τροφοδοσία). Η πύλη NAND αναλαμβάνει να αποτρέψει τους δύο μανδαλωτές να βρεθούν ταυτόχρονα στην κατάσταση high. Μία παραλλαγή αυτού του κυκλώματος θα ήταν, αντί να χρησιμοποιήσουμε την πύλη NAND, όταν έρχεται το σήμα αναφοράς να κάνει set το flipflop του και να κάνει ταυτόχρονα reset το δεύτερο flipflop. Αντίστοιχα το σήμα u 2 όποτε γίνεται high θα κάνει set το flipflop του και reset το πρώτο flipflop. Αποφεύγουμε την καθυστέρηση που εισάγει η NAND πύλη και μειώνεται η νεκρή ζώνη του κυκλώματος. Πάνω σε αυτή την παραλλαγή βασίστηκε το κύκλωμα του Mohammad Zaher Al-Sabbagh [20] στο οποίο εξαφανίζεται το reset path έτσι ώστε να ελαχιστοποιηθεί ο χρόνος του reset. Το κύκλωμα αυτό βασίζεται στην ανίχνευση των ανερχόμενων και κατερχόμενων ακμών των δύο σημάτων εισόδου για να κάνει reset. Στο Σχήμα 5.1 βλέπουμε το σχηματικό του διάγραμμα. Όπως φαίνεται, αντί για ένα reset path, χρησιμοποιούνται και τα δύο σήματα ρολογιού για να κάνουν reset όταν είναι ταυτόχρονα high. Ας δούμε όμως τη λογική της λειτουργίας του κυκλώματος. Όσο το CLKREF είναι 54

65 Σχήμα 5.1: Σχηματικό διάγραμμα του υλοποιημένου PFD 55

66 Σχήμα 5.2: H μεταβατική απόκριση του PFD στο λογικό 0, το τρανζίστορ M4 άγει, ενώ το Μ1 όχι και συνεπώς ο κόμβος του drain του M4 φορτίζεται σε high. Όταν το CLKREF γίνει 1, το Μ4 σταματάει να άγει, ενώ το Μ1 γίνεται on. Σε αυτή την κατάσταση έχουμε και τις δύο εισόδους της AND πύλης που ακολουθεί στο λογικό 1 και συνεπώς στην έξοδο UP εμφανίζεται ένας παλμός. Η είσοδος Β της πύλης θα παραμείνει φορτισμένη, όσο ο δρόμος προς τη γη μένει κλειστός. Ωστόσο, αυτό θα πάψει να ισχύει όταν τα M1 και M0 γίνουν ταυτόχρονα on, δηλαδή όταν και το CLKREF και το CLKOUT γίνουν 1. Τότε η είσοδος B συνδέεται στη γη και ο παλμός UP μηδενίζεται.η ίδια ακριβώς λογική ισχύει και για το κάτω μέρος του κυκλώματος με έξοδο το DOWN. Στο Σχήμα 5.2 φαίνεται το αποτέλεσμα της transient εξομοίωσης του κυκλώματος αυτού, στην οποία το CLKREF εμφανίζεται να έχει συχνότητα 50MHz, ενώ το CLKOUT περίπου 72MHz. Οι συχνότητες αυτές είναι στην περιοχή που λειτουργεί το PLL μας και εμφανίζονται πολλές περίοδοι με σκοπό να φανεί η λειτουργία του κυκλώματος όταν προηγείται το CLKREF του CLKOUT και όταν καθυστερεί. Παρατηρούμε από τις κυματομορφές αυτές, ότι το κύκλωμα, τουλάχιστον χωρίς τα παρασιτικά στοιχεία των γραμμών που εισάγονται στο layout, έχει σχεδόν μηδενική νεκρή ζώνη. Τέλος, η κατανάλωση του κυκλώματος φαίνεται στο Σχήμα 5.3 για ένα χρονικό διάστημα 200ns στο οποίο γίνονται εναλλαγές των εισόδων και η μέση τιμή της δυναμικής ισχύος είναι λιγότερο από 3μW. Αυτά τα χαρακτηριστικά κάνουν τη συγκεκριμένη σχεδίαση ιδιαίτερα ελκυστική στη χρήση της σε ένα PLL. 56

67 5.3 Charge Pump Σχήμα 5.3: Δυναμική κατανάλωση ισχύος του PFD Ο ταλαντωτής που σχεδιάστηκε και θα παρουσιαστεί στη συνέχεια, θα δούμε ότι απαιτεί διαφορικό σήμα ελέγχου V c. Για να επιτευχθεί αυτό θα έπρεπε να χρησιμοποιηθεί ένα διαφορικό charge pump με δύο φίλτρα βρόχου. Τα πλεονεκτήματα των διαφορικών charge pump είναι αρκετά σε σχέση με τα single ended αντίστοιχα κυκλώματα. Ωστόσο, το κόστος για αυτό είναι ότι χρειάζονται δύο φίλτρα βρόχου, ένα κύκλωμα common-mode ανάδρασης και έχουν μεγαλύτερη κατανάλωση ισχύος λόγω του συνεχούς ρεύματος πόλωσης. Στην προσπάθεια να κρατηθεί η σχεδίαση όσο ήταν δυνατόν με το μικρότερο βαθμό πολυπλοκότητας, χρησιμοποιήθηκε ένα απλό charge pump με single ended έξοδο, την τάση του οποίου αναλαμβάνει να τη μετατρέψει σε διαφορική ένα nmos τρανζίστορ με ένα pmos φορτίο συνδεδεμένο σαν δίοδο. Το μειονέκτημα αυτής της υλοποίησης ήταν ότι το διαφορικό σήμα ελέγχου δεν είχε μεγάλη γραμμικότητα σε όλο το εύρος της τάσης ελέγχου, όπως θα δούμε παρακάτω. Το πιο απλό charge pump που περιγράψαμε σε προηγούμενο κεφάλαιο (Σχ. 3.8, αποτελείται από δύο πηγές ρεύματος ανάμεσα στις οποίες παρεμβάλονται δύο διακόπτες που ανοιγοκλείνουν με τα σήματα UP και DOWN. Προφανώς οι διακόπτες αυτοί υλοποιούνται με ένα PMOS και ένα NMOS τρανζίστορ αντίστοιχα, ενώ οι πηγές ρεύματος με δύο καθρέπτες ρεύματος, ή αλλιώς με τρανζίστορ πολωμένα με μία DC τάση. Επειδή όμως τα τρανζίστορ των καθρεπτών άγουν ακόμα και όταν τα σήματα UP και DOWN είναι μηδέν, συσσωρεύεται φορτίο στο drain τους, δηλαδή τραβάνε την τάση στο V dd και στο GND αντίστοιχα, με αποτέλεσμα όταν ανοίξει ένας από τους δύο διακόπτες να έχουμε ένα μεταβατικό φαινόμενο στο οποίο εμφανίζονται απότομα μεγάλα ρεύματα. Για να ξεπεράσουμε αυτό το πρόβλημα, παρεμβάλουμε τους διακόπτες μεταξύ της τροφοδοσίας και των καθρεπτών ρεύματος. Στο Σχήμα 5.4 φαίνεται το σχηματικό του κυκλώματος που χρησιμοποιήθηκε. Σε αυτό το σχηματικό, βλέπουμε ότι τα transistors M1 και M3 πολώνονται με τη βοή- 57

68 Σχήμα 5.4: Προτεινόμενο κύκλωμα του CP 58

69 Σχήμα 5.5: Κύκλωμα για την παραγωγή διαφορικής τάσης ελέγχου στην είσοδο του VCO θεια των M4, M5 και M6 και σχηματίζουν δύο καθρέπτες ρεύματος. Το αν θα άγει ρεύμα από ή προς το φίλτρο ελέγχεται από τα Μ0 και M2. Η CMOS NOT πύλη που προηγείται του M2, είναι απαραίτητη, αφού το M2 είναι PMOS και άγει όταν έχουμε χαμηλή τάση στην πύλη της. Το ρεύμα που ρέει από και προς το φίλτρο, είναι περίπου I p = 2mA. 5.4 Single-ended σε διαφορικό Στο Σχήμα 5.5 βλέπουμε το σχηματικό διάγραμμα μίας πύλης NOT, η οποία λόγω του μεγάλου πλάτους των τρανζίστορ έχει αποκτήσει τη χαρακτηριστική καμπύλη που φαίνεται στο Σχήμα 5.6. Παρατηρούμε ότι για τις τάσεις V c + που είναι μεταλύτερες από 1V η V c παύει να είναι γραμμική. Ωστόσο, η μη γραμμικότητα αυτή δεν επηρεάζει ιδιαίτερα τη χαρακτηριστική ελέγχου του VCO όπως θα δούμε. Σε περίπτωση βέβαια που απαιτείται μεγάλη ακρίβεια, καλό θα ήταν να γίνει χρήση πιο πολύπλοκων κυκλωμάτων, όπως για παράδειγμα με τη χρήση τελεστικών ενισχυτών. 5.5 Διαιρέτης συχνότητας Ο διαιρέτης συχνότητας είναι από τα πιο κρίσιμα στοιχεία του συστήματος. Οι παράμετροι που μας ενδιαφέρουν κατά τη σχεδίασή του είναι η ψηλή ταχύτητα, η χαμηλή 59

70 Σχήμα 5.6: Χαρακτηριστική καμπύλη λειτουργίας. Η έξοδος του κυκλώματος (συνεχής καμπύλη) για μία γραμμική είσοδο από 0 μέχρι 1.2V (διακεκομμένη καμπύλη) κατανάλωση, η υψηλή ευαισθησία εισόδου και να είναι ένα γενικό κύκλωμα που μπορεί να χρησιμοποιηθεί και σε άλλες σχεδιάσεις (reusability). Αν έχει γίνει κατανοητή η μέχρι τώρα ανάλυση, η συχνότητα λειτουργίας του PLL περιορίζεται από το VCO και από το διαιρέτη συχνότητας. Για να μπορέσει το κύκλωμα να ανταπεξέλθει στην υψίσυχνη λειτουργία του VCO, ο διαιρέτης μπορεί να έχει μεγάλη κατανάλωση, που σε ορισμένες περιπτώσεις φτάνει το 50% της συνολικής κατανάλωσης του PLL. Λόγω της μεγάλης ευαισθησίας εισόδου, ο διαιρέτης μπορεί να μειώσει την ισχύ εξόδου του VCO και συνεπώς την κατανάλωση ισχύος του PLL. Επίσης, ένας διαιρέτης με μεγάλο εύρος συχνοτήτων όχι μόνο ταιριάζει εύκολα με το εύρος συχνοτήτων του VCO, αλλά επίσης είναι πιο αναίσθητος σε μεταβολές της θερμοκρασίας. Τέλος, τα εν σειρά κελιά του διαιρέτη, μειώνουν την πολυπλοκότητα της σχεδίασης. Συνήθως οι προγραμματιζόμενοι διαιρέτες αποτελούνται από CML κυκλώματα για μεγάλες συχνότητες, ωστόσο έχουν το μειονέκτημα της μεγάλης κατανάλωσης ισχύος. Επίσης, τα Current-Mode Logic κυκλώματα, απαιτούν αντιστάσεις και ρεύματα πόλωσης, κάτι που έχει μεγαλύτερο κόστος (λόγω αύξησης του μεγέθους των ολοκληρωμένων κυκλωμάτων) και μεγαλύτερης πολυπλοκότητας στη σχεδίαση. Τέλος, οι μικρές τάσης τροφοδοσίας σε τεχνολογίες μερικών νανομέτρων, κάνουν τα CML να χάνουν την απόδοσή τους σε μεγάλες συχνότητες. Για αυτούς τους λόγους, προτιμήθηκε η χρήση ενός Extended True-Single-Phase-Clock (E-TSPC) flipflop αντί της CML λογικής. Το κύκλωμα αυτό, σχεδιάστηκε από τους Chien, Lin, Wey Juang, Huang[23] και φαίνεται στο Σχήμα 5.7 Το κάθε στοιχείο της αλυσίδας, αποτελείται από δύο flipflops και AND πύλες, δύο ΝΟΤ και μία NAND πύλη. Έχει τρεις εισόδους, FI, MI, P, και παράγει δύο σήματα εξό- 60

71 Σχήμα 5.7: Η δομή και η λειτουργία ενός προγραμματιζόμενου διαιρέτη συχνότητας δου, MO και FO. Για το mode λειτουργίας δια 2 (P= 0 ή MO= 0 ), το Mode Select εσωτερικό σήμα είναι 1 και αυτό προκαλεί τη διαίρεση με το 2. Για το mode λειτουργίας δια 3, τα σήματα P= 1, FO= 1, MI= 1 και FI= 1, πριν τη χρονική στιγμή E C. Η πίπτουσα παρυφή του FI τη στιγμή E C προκαλεί τη μεταβολή του MO από 0 σε 1, κάτι το οποίο προκαλεί το reset του σήματος MS και έτσι τελικά έχουμε διαίρεση της συχνότητας εισόδου με το 3. Αυτό που κάνει με άλλα λόγια το λογικό κύκλωμα στον δια 3 τρόπο λειτουργίας είναι να βγάζει low στην έξοδο για ένα κύκλο ρολογιού (όταν τα σήματα MO και MS είναι high και low αντίστοιχα) και στη συνέχεια να λειτουργεί στο δια 2 τρόπο λειτουργίας. Ολόκληρος ο prescaler λειτουργεί ως εξής σε κάθε περίοδο διαίρεσης, η τελευταία κυψέλη σε κάθε αλυσίδα παράγει ένα παλμό MO n 1. Αυτό το σήμα προωθείται στην αλυσίδα σε κάθε clock μέχρι την αρχή της. Ένα ενεργό M σήμα αναγκάζει το κάθε κελί να κάνει τη διαίρεση με το 3 (σε κάθε κύκλο διαίρεσης) αντί με το δύο, αρκεί η προγραμματιζόμενη είσοδος P να είναι 1. Η διαίρεση με το 3, προσθέτει μία επιπλέον περίοδο του σήματος εισόδου F IN στην έξοδο κάθε κυψέλης. Έτσι, μία αλυσίδα από n 2/3 κυψέλες παρέχει ένα σήμα εξόδου F O με περίοδο: T o ut = 2 n T in + 2 n 1 T in P n n 2 T in P n T in P 1 + T in P 0 = (2 n + 2 n 1 P n n 2 P n P 1 + P 0 ) T in (5.1) Συνεπώς, το εύρος της διαίρεσης καθορίζεται από 2 n όταν όλα τα P είναι 0, μέχρι 2 n+1 1, εάν όλες οι είσοδοι P είναι 1. Στα Σχήματα 5.8, 5.9, 5.10 βλέπουμε το σχηματικό διάγραμμα του διαιρέτη που χρησιμοποιήθηκε. Παρατηρούμε ότι αποτελείται από 6 κελιά 2/3 το οποίο σημαίνει ότι το εύρος ακεραίων αριθμών διαίρεσης είναι από 2 6 = 64 μέχρι = 127. Αυτή η επιλογή έγινε επειδή το εύρος των συχνοτήτων που παράγει το VCO είναι από 4.87GHz 61

72 Σχήμα 5.8: Το ανώτερο επίπεδο της ιεραρχίας του διαιρέτη συχνότητας που αποτελείται από 6 κυψέλες διαίρεσης 2/3 μέχρι 5.4GHz, ενώ οι κοινοί κρύσταλλοι που κυκλοφορούν στο εμπόριο φτάνουν μέχρι τα 50MHz. Συνεπώς θέλουμε ένα εύρος διαίρεσης γύρω από το 100. Ωστόσο εύκολα μπορεί να διαπιστώσει ο αναγνώστης ότι για συχνότητα αναφοράς 50MHz, και εύρος διαίρεσης από 64 μέχρι 127 οι συχνότητες που θα έπρεπε να παράγει το VCO, είναι 3.2GHz μέχρι 6.35GΗz. Επειδή το εύρος αυτό δεν επιτεύχθηκε με το VCO που σχεδιάστηκε, κατά τη χρήση του κυκλώματος θα πρέπει να ληφθούν υπόψη οι απαγορευμένες είσοδοι για το διαιρέτη. Τέλος, ενδεικτικά παρουσιάζεται στο Σχήμα 5.11, μία εξομοίωση για είσοδο 5GHz και παράγοντα διαίρεσης N = 100, δηλαδή για εισόδους P 0 = 0, P 1 = 0, P 2 = 1, P 3 = 0, P 4 = 0, P 5 = 1. Στο διάστημα αυτό,η μέση κατανάλωση του κυκλώματος ήταν 3mW περίπου, δηλαδή αρκετά χαμηλή σε σχέση με ολόκληρο το PLL όπως θα δούμε στη συνέχεια. 5.6 Διαφορικό Voltage Controlled Oscillator Στο σημείο αυτό, θα περιγράψουμε την καρδιά του PLL, το κύκλωμα δηλαδή που παράγει το σήμα εξόδου και είναι η κύρια πηγή του θορύβου phase noise του κυκλώματος. Ο λόγος που επιλέχθηκε η χρήση ενός ring oscillator έναντι ενός LC, είναι η μικρότερη επιφάνεια που καταλαμβάνει στο layout, παρότι έχει μεγαλύτερο θόρυβο φάσης. Επίσης, η χρήση διαφορικών πυλών καθυστέρησης βοηθούν στην απόρριψη του common noise και συνεπώς χρησιμοποιούνται σχεδόν αποκλειστικά στα ψηφιακά κυκλώματα έναντι των single ended. εύρος της τάσης ίσο με V DS3,4. Εάν V GS5 V T H3, τότε το M3 λειτουργεί στα όρια της περιοχής τριόδου και η αντίσταση μικρού σήματος του φορτίου είναι το πολύ ίση με 1/g m3. των M1 και M3 στη μισή περίοδο, και την άλλη μισή από το R 2 δίνοντας ένα διαφορικό πλάτος ταλάντωσης 2R P I T. Η σχεδίαση του VCO πήρε περίπου το 50% του χρόνου της σχεδίασης ολόκληρου του PLL. Αυτό οφείλεται στην προσπάθεια που έγινε να κρατηθεί το phase noise σε ιδιαίτερα χαμηλά επίπεδα για τις συχνότητες αυτές. Αρχικά λοιπόν σχεδιάστηκε το κύκλωμα του Σχήματος 5.12, με το κελί καθυστέρησης που 62

73 Σχήμα 5.9: To σχηματικό διάγραμμα του κάθε κελιού διαίρεσης 2/3 Σχήμα 5.10: To σχηματικό διάγραμμα της NAND πύλης εν σειρά με ένα D-flipflop 63

74 Σχήμα 5.11: Transient εξομοίωση του διαιρέτη, με είσοδο 5GHz και έξοδο 50MHz 64

75 Σχήμα 5.12: Πρώτη υλοποίηση του VCO φαίνεται στο Σχ Όπως εύκολα μπορεί να διαπιστώσει ο αναγνώστης, αποτελείται από ένα φορτίο με ακόλουθο πηγής και ένα φορτίο ελέγχου συχνότητας με cross-coupled transistors από τα οποία τραβάμε ρεύμα με την τοπολογία current folding. Όλες αυτές οι έννοιες έχουν περιγραφεί σε προηγούμενο κεφάλαιο. Στο Σχήμα 5.12, βλέπουμε ότι το VCO αποτελείται από τέσσερις πύλες καθυστέρησης, ένα buffer στην έξοδο και κάποιους καθρέπτες ρεύματος για να φτιάξουμε τις πηγές ρεύματος πόλωσης εντός του κυκλώματος καθυστέρησης. Τα σήματα εισόδου είναι τα δύο σήματα ελέγχου V C+ και V C, ενώ η έξοδος είναι το σήμα F out που παρέχει το ταλαντούμενο σήμα. Κάποιοι πυκνωτές που έχουν τοποθετηθεί μεταξύ των κελιών καθυστέρησης, είναι για να προσομοιώσουν τη χωρητικότητα των γραμμών που εισάγεται στο στάδιο του layout. Χωρίς να αναφέρουμε περισσότερες λεπτομέρειες, δυστυχώς, παρότι το κύκλωμα αυτό είχε πολύ καλή γραμμικότητα για τάσεις ελέγχου από 0 μέχρι 1V (με τάση τροφοδοσίας τα 1.2V) και εύρος συχνοτήτων εξόδου περίπου 1GHz, τα μοντέλα των transistor που χρησιμοποιήθηκαν (nch και pch της TSMC90) δε συμπεριφέρονταν σωστά στις ψηλές συχνότητες, με αποτέλεσμα όταν σχεδιάστηκε το layout η συχνότητα ταλάντωσης έπεσε στα 2GHz κάτι που προφανώς ξέφευγε από τις προδιαγραφές μας. Ένα τελευταίο στοιχείο που πρέπει να σημειωθεί, είναι ότι το phase noise για φορέα στα 5GHz και σε απόσταση 1MHz από αυτόν ήταν στα 94, 8dBc/Hz, αν και η κατανάλωση του κυκλώματος ήταν ιδιαίτερα μεγάλη. Παρότι τα στοιχεία αυτά το έκαναν ιδιαίτερα ελκυστικό για χρήση στο PLL, προτιμήθηκε η έστω και πρόχειρη σχεδίαση από την αρχή ενός VCO με διαφορετικά transistors της ίδιας τεχνολογίας. Μιας και έγινε όμως η προσπάθεια θα παραθέσουμε τα αποτελέσματα. Στο Σχήμα 5.14 φαίνεται η transient εξομοί- 65

76 Σχήμα 5.13: Κύκλωμα καθυστέρησης της πρώτης υλοποίησης VCO ωση του κυκλώματος μαζί με την pnoise εξομοίωση στην οποία φαίνεται το μονόπλευρο φάσμα του θορύβου φάσης. Τελικά, έγινε η χρήση των transistors nch_rf και pch_rf (της TSMC90), καθώς αυτά ήταν σχεδιασμένα για rf συχνότητες και τα μοντέλα τους περιέγραφαν σωστά την περιοχή συχνοτήτων που δουλεύει το κύκλωμα. Επειδή όμως τα χαρακτηριστικά τους είναι διαφορετικά από αυτά των nch και pch, η σχεδίαση του VCO έπρεπε να γίνει από την αρχή. Το κύκλωμα έχει περισσότερο phase noise όπως θα δούμε, ενώ λόγω της ρύθμισης της συχνότητας με NMOS transistors για τάσεις ελέγχου μικρότερες των 0.4V το κέρδος είναι πάρα πολύ μικρό. Δυστυχώς, δεν έγινε δυνατή η περεταίρω μείωση του θορύβου και συνεπώς παρατίθεται ως έχει. Το κύκλωμα που σχεδιάστηκε φαίνεται στο Σχήμα 5.15, το οποίο επίσης αποτελείται από 4 στάδια, μία πηγή ρεύματος που καθρεπτίζεται εντός του κυκλώματος των πυλών καθυστέρησης και ένα buffer ο οποίος δεν είναι τίποτ άλλο από cascaded στάδια CMOS αντιστροφέων, έτσι ώστε να κάνουν την έξοδο να ταλαντώνει rail-to-rail και το πλάτος της ταλάντωσης να είναι ανεξάρτητο από τα χαρακτηριστικά εισόδου του διαιρέτη συχνότητας και οποιουδήποτε άλλου κυκλώματος συνδεθεί στην έξοδο. Τα στοιχεία καθυστέρησης αποτελούνται από διαφορικά ζεύγη με φορτίο PMOS συνδεδεμένο σαν δίοδο. Ο έλεγχος της συχνότητας γίνεται διαφορικά, με τα δύο cross-coupled τρανζίστορ και δύο τρανζίστορ current steering από τα οποία το ένα ελέγχει τη διαγωγιμότητα του cross-coupled φορτίου εξόδου και το άλλο αντισταθμίζει τη μείωση του πλάτους ταλάντωσης. Η χαρακτηριστική καμπύλη ελέγχου φαίνεται στο Σχ. 5.17, στο οποίο είναι εμφανής η μη γραμμική συμπεριφορά. Παρ όλ αυτά το εύρος συχνοτήτων της εξόδου είναι ικα- 66

77 Σχήμα 5.14: Transient και pnoise εξομοίωση της πρώτης υλοποίησης του VCO Σχήμα 5.15: Το κύκλωμα VCO που χρησιμοποιήθηκε 67

78 Σχήμα 5.16: Το κύκλωμα των στοιχείων καθυστέρησης του VCO 68

79 Σχήμα 5.17: Χαρακτηριστική καμπύλη ελέγχου του VCO νοποιητικό και έτσι το σύστημα του PLL μπορεί να κλειδώσει με άνεση. Το κέρδος του κυκλώματος υπολογίζεται για τη γραμμική περιοχή, η οποία είναι για τάσεις μεταξύ 0.4 και 1.2V στην οποία παράγονται συχνότητες από 4.8 μέχρι 5.6 GHz. Έτσι έχουμε: ( ) ( ) GHz GHz K V CO = K 0 = = 1 (5.2) V V Τέλος, στο Σχήμα 5.18 βλέπουμε τα αποτελέσματα της transient εξομοίωσης και στο Σχ το διάγραμμα του phase noise. Σε απόσταση 1MHz από το φορέα, το phase noise είναι dBc/Hz, ενώ η συχνότητα ταλάντωσης, δηλαδή η συχνότητα του φορέα είναι GHz. Η ταλάντωση σταθεροποιείται μετά από 540ps. Τα αποτελέσματα αυτά είναι αφού σχεδιάστηκε το layout και προστέθηκαν τα παρασιτικά στοιχεία από το Cadence. Η εξομοίωση που έγινε στο pre-layout κύκλωμα είχε θόρυβο φάσης dBc/Hz, ωστόσο αυτή τη φορά ο φορέας ήταν στα 5.61GHz. Τέλος, η μέση κατανάλωση ήταν mw 5.7 Μελέτη του βρόχου και αποτελέσματα Αφού είδαμε όλα τα κυκλώματα της σχεδίασης ξεχωριστά, ήρθε η ώρα να δούμε τη λειτουργία του βρόχου. Το block διάγραμμα φαίνεται στο Σχ. 5.20, ενώ το κάθε block περιέχει τα κυκλώματα που περιγράψαμε παραπάνω. Στην είσοδο PLL_IN συνδέεται η συχνότητα αναφοράς, ενώ η έξοδος του συνθέτη συχνοτήτων είναι το PLL_OUT. Analog inverter έχει ονομαστεί το κύκλωμα που παίρνει την τάση ελέγχου V C + και δημιουργεί την V C. Τέλος, να σημειώσουμε ότι τα στοιχεία του παθητικού φίλτρου δεν έχουν συμπεριληφθεί στο layout καθώς συνήθως συνδέονται με εξωτερικά στοιχεία στα ολοκληρωμένα κυκλώματα που κυκλοφορούν στην αγορά. Ωστόσο, έχουν συμπεριληφθεί στο σχηματικό διάγραμμα με σκοπό να γίνει πιο κατανοητή η λειτουργία του βρόχου. Είναι προφανές, μελετώντας ένα ένα τα στοιχεία, μπορούμε να εξάγουμε τη συνάρτηση μεταφοράς τους, έτσι ώστε να επιλέξουμε την κατάλληλη τιμή στα στοιχεία του φίλτρου με βάση την ανάλυση που έγινε στο κεφάλαιο PLL μικτού σήματος. Συγκεντρωτικά, οι συναρτήσεις μεταφοράς των στοιχείων είναι οι εξής: 69

80 Σχήμα 5.18: Εξομοίωση transient του VCO στη συχνότητα των 5GHz 70

81 Σχήμα 5.19: Πυκνότητα φάσματος pnoise 71

82 Σχήμα 5.20: Πυκνότητα φάσματος pnoise PFD και Charge Pump: VCO: K d = I p 2π = 2 ma 2π Θ 2 (s) U c (s) = K 0 s = 1 GHz/V s του διαιρέτη συχνότητας: 1/N, ενώ τα στοιχεία του φίλτρου lead-lag δευτέρας τάξης θα υπολογιστούν στη συνέχεια. Για τη διευκόλυνση του αναγνώστη, επαναλαμβάνουμε τις βασικές σχέσεις: H(s) openloop = όπου ω z = 1 RC 1 και ω p3 = C 1+C 2 RC 1 C 2. pk 0 2πN(C 1 + C 2 )s (1 + s/ω z) 2 (1 + s/ω p3 ) k = 1 + sin(ϕ m ) 1 sin(ϕ m ) Υπολογίζουμε αρχικά για συχνότητα μοναδιαίου κέρδους f c = 2MHz ω c = Mrad/s και θέλουμε περιθώριο φάσης ϕ m = 50, άρα k = Από αυτά προκύπτει ότι ω z = ω c /k = Mrad/s και ότι ω p3 = Mrad/s. I p K 0 H(s) = 2πN(C 1 + C 2 )ωc k = 1 k 2 72

83 Λύνοντας ως προς C T = C 1 + C 2 : C T = I pk k 2 2πNωc ( 1 k 2 = 358 pf και συνεπώς ω p3 ω z = k 2 = C T RC 1 C 2 RC 1 = C T C 2 C 2 = C T k 2 = 46.1 pf C 1 = C T C 2 = 302 pf R = 1 ω z C 1 = 724 Ω Μετά τα παραπάνω, η συνάρτηση μεταφοράς του ανοικτού βρόχου προκύπτει ότι είναι: H(s) openloop = ενώ του κλειστού συστήματος: H(s) = 2.75s s s s s s s Τα διαγράμματα Bode που προκύπτουν από αυτό το σύστημα φαίνεται στο Σχήμα 5.21, από το οποίο φαίνεται ότι το ω 3dB = 20.8 Mrad/s f 3dB = 3.3 MHz. Επίσης στο Σχήμα 5.22 φαίνεται η transient εξομοίωση του κυκλώματος, το οποίο κλειδώνει μετά από περίπου 600ns, με τις αυξομοιώσεις της τάσης ελέγχου να σταθεροποιούνται στα 700ns. Εδώ αξίζει να παρατηρήσουμε πως ενώ οι παραπάνω υπολογισμοί των στοιχείων έγιναν για χρόνο κλειδώματος στα 850ns (απ όπου προκύπτει και η συχνότητα μοναδιαίου εύρους ζώνης), η εξομοίωση μας δίνει διαφορετικά αποτελέσματα. Αυτό οφείλεται στο ότι τα κέρδη των στοιχείων δεν παραμένουν σταθερά σε όλο το εύρος των εισόδων τους. Για παράδειγμα, το VCO, για χαμηλές τάσης έχει πολύ μικρό κέρδος, ενώ το charge pump δεν έχει ιδανικές πηγές ρεύματος για να παρέχει κέρδος τελείως ανεξάρτητο της τάσης του φίλτρου. Συνεπώς, η όποια ανάλυση γίνεται σε ένα κύκλωμα είναι περισσότερο για να μας δώσει μία κατευθυντήρια βοήθεια και μετά με συνεχείς δοκιμές να καταλήξουμε σε ένα αποτέλεσμα που μας βολεύει. Για το ίδιο σύστημα, βλέπουμε στο Σχήμα 5.23 τι θα συμβεί όταν τη στιγμή 1us αλλάξουμε το N του διαιρέτη από 100 σε 103. Αφού έχει κλειδώσει αρχικά το σύστημα στη συχνότητα 5GHz, τη στιγμή 1us που γίνεται η αλλαγή στο διαιρέτη συχνότητας ξεκλειδώνει και ξανακλειδώνει μετά από περίπου 300 ns στη συχνότητα 5.15GHz. Με αυτό τον τρόπο εξομοιώνουμε τη λειτουργία του συνθέτη συχνοτήτων, ο οποίος θα κληθεί κατά τη χρήση του να μεταβάλει τη συχνότητα εξόδου προγραμματίζοντας κατάλληλα το διαιρέτη. Όσο πιο μικρό είναι το βήμα της αλλαγής, τόσο μικρότερο χρόνο θα κάνει το σύστημα να ξανακλειδώσει. 73

84 Σχήμα 5.21: Bode διάγραμμα του συστήματος PLL με εύρος ζώνης μοναδιαίου κέρδους 2 MHz και περιθώριο φάσης 50 Σχήμα 5.22: Εξομοίωση transient για το PLL με μοναδιαίο εύρος ζώνης 2 MHz 74

85 Σχήμα 5.23: Εξομοίωση transient, για να περιγραφεί η απόκριση σε περίπτωση που μεταβάλλουμε τον παράγοντα διαίρεσης τη στιγμή 1us 75

86 Σχήμα 5.24: Εξομοίωση transient για το PLL με μοναδιαίο εύρος ζώνης 3.4 MHz Τέλος, αυξάνοντας κι άλλο το bandwidth μπορούμε να πετύχουμε ακόμα μικρότερο χρόνο κλειδώματος, με το κόστος φυσικά του μεγαλύτερου θορύβου εντός αυτών των συχνοτήτων. Μία τέτοια προσπάθεια φαίνεται στο Σχήμα Η μέση κατανάλωση του PLL σε όλες τις παραπάνω περιπτώσεις, δεν ξεπέρασε τα 29mW. 5.8 Layout Όλες οι παραπάνω εξομοιώσεις των στοιχείων και του συστήματος έγιναν λαμβάνοντας υπόψη τα παρασιτικά στοιχεία που εισήχθησαν από το layout. Γενικά η διαδικασία που ακολουθείται, είναι να σχεδιαστεί αρχικά το σχηματικό όλων των block του βρόχου ξεχωριστά. Αφού βελτιστοποιήσουμε το σχηματικό διάγραμμα ως προς τις παραμέτρους που μας ενδιαφέρουν, αυξάνουμε τη συχνότητα του ταλαντωτή έτσι ώστε να αντισταθμιστούν οι παρασιτικές χωρητικότητες που μπαίνουν στο layout. Για παράδειγμα, το VCO σχεδιάστηκε γύρω από τα 5.5GHz, ενώ με τα παρασιτικά πήγε γύρω από τα 5GHz. Στη συνέχεια, σχεδιάζεται το layout για κάθε κύκλωμα ξεχωριστά, δηλαδή τοποθετούνται στο επίπεδο τα transistors και όλα τα ηλεκτρονικά στοιχεία που χρησιμοποιήθηκαν, και στη συνέχεια ενώνονται με γραμμές μετάλλων. Το πλάτος των μετάλλων αυτών καθορίζεται από το ρεύμα που περνάει από κάθε κόμβο. Αυξάνοντάς το, μειώ- 76

87 Σχήμα 5.25: Εικόνα του layout του PLL 77

88 Σχήμα 5.26: Το layout, χωρισμένο σε blocks για να γίνει κατανοητή η αναλογία του μεγέθους των στοιχείων 78

Εισαγωγή στους Ταλαντωτές Οι ταλαντωτές είναι από τα βασικότερα κυκλώματα στα ηλεκτρονικά. Χρησιμοποιούνται κατά κόρον στα τηλεπικοινωνιακά συστήματα

Εισαγωγή στους Ταλαντωτές Οι ταλαντωτές είναι από τα βασικότερα κυκλώματα στα ηλεκτρονικά. Χρησιμοποιούνται κατά κόρον στα τηλεπικοινωνιακά συστήματα Πανεπιστήμιο Θεσσαλίας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Υλοποίηση και Εργαστηριακή Αναφορά Ring και Hartley Ταλαντωτών Φοιτητής: Ζωγραφόπουλος Γιάννης Επιβλέπων Καθηγητής: Πλέσσας Φώτιος

Διαβάστε περισσότερα

Ταλαντωτές. Ηλεκτρονική Γ Τάξη Β εξάμηνο Μάρτιος 2011 Επ. Καθ. Ε. Καραγιάννη

Ταλαντωτές. Ηλεκτρονική Γ Τάξη Β εξάμηνο Μάρτιος 2011 Επ. Καθ. Ε. Καραγιάννη Ταλαντωτές Ηλεκτρονική Γ Τάξη Β εξάμηνο Μάρτιος Επ. Καθ. Ε. Καραγιάννη Ταλαντωτές ΑΝΑΔΡΑΣΗ Στοιχεία Ταλάντωσης Ενισχυτής OUT Ταλαντωτής είναι ένα κύκλωμα που παράγει ηλεκτρικό σήμα σταθερής συχνότητας

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) Διεργασίες Μικροηλεκτρονικής Τεχνολογίας, Οξείδωση, Διάχυση, Φωτολιθογραφία, Επιμετάλλωση, Εμφύτευση, Περιγραφή CMOS

Διαβάστε περισσότερα

Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ.1) με τα εξής χαρακτηριστικά: R 2.3 k,

Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ.1) με τα εξής χαρακτηριστικά: R 2.3 k, Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ) με τα εξής χαρακτηριστικά: 3 k, 50, k, S k και V 5 α) Nα υπολογιστούν οι τιμές των αντιστάσεων β) Να επιλεγούν οι χωρητικότητες C, CC έτσι ώστε ο ενισχυτής

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές

Τελεστικοί Ενισχυτές Τελεστικοί Ενισχυτές Ενισχυτές-Γενικά: Οι ενισχυτές είναι δίθυρα δίκτυα στα οποία η τάση ή το ρεύμα εξόδου είναι ευθέως ανάλογη της τάσεως ή του ρεύματος εισόδου. Υπάρχουν τέσσερα διαφορετικά είδη ενισχυτών:

Διαβάστε περισσότερα

Κεφάλαιο 11. Κυκλώματα Χρονισμού

Κεφάλαιο 11. Κυκλώματα Χρονισμού Κεφάλαιο 11. Κυκλώματα Χρονισμού Σύνοψη Στο κεφάλαιο αυτό αναλύεται η λειτουργία των κυκλωμάτων χρονισμού. Τα κυκλώματα αυτά παρουσιάζουν πολύ μεγάλο πρακτικό ενδιαφέρον και απαιτείται να λειτουργούν με

Διαβάστε περισσότερα

7 ο ΚΕΦΑΛΑΙΟ ΕΡΩΤΗΣΕΙΣ ΑΥΤΟΕΞΕΤΑΣΗΣ. 1) Ποιος είναι ο ρόλος του δέκτη στις επικοινωνίες.

7 ο ΚΕΦΑΛΑΙΟ ΕΡΩΤΗΣΕΙΣ ΑΥΤΟΕΞΕΤΑΣΗΣ. 1) Ποιος είναι ο ρόλος του δέκτη στις επικοινωνίες. 7 ο ΚΕΦΑΛΑΙΟ ΕΡΩΤΗΣΕΙΣ ΑΥΤΟΕΞΕΤΑΣΗΣ 1) Ποιος είναι ο ρόλος του δέκτη στις επικοινωνίες. Ρόλος του δέκτη είναι να ενισχύει επιλεκτικά και να επεξεργάζεται το ωφέλιμο φέρον σήμα που λαμβάνει και να αποδίδει

Διαβάστε περισσότερα

Καθυστέρηση στατικών πυλών CMOS

Καθυστέρηση στατικών πυλών CMOS Καθυστέρηση στατικών πυλών CMOS Πρόχειρες σημειώσεις Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Άνοιξη 2008 Παρόλο που οι εξισώσεις των ρευμάτων των MOS τρανζίστορ μας δίνουν

Διαβάστε περισσότερα

6. Τελεστικοί ενισχυτές

6. Τελεστικοί ενισχυτές 6. Τελεστικοί ενισχυτές 6. Εισαγωγή Ο τελεστικός ενισχυτής (OP AMP) είναι ένας ενισχυτής με μεγάλη απολαβή στον οποίο προσαρτάται ανάδραση, ώστε να ελέγχεται η λειτουργία του. Χρησιμοποιείται για την πραγματοποίηση

Διαβάστε περισσότερα

f o = 1/(2π LC) (1) και υφίσταται απόσβεση, λόγω των ωμικών απωλειών του κυκλώματος (ωμική αντίσταση της επαγωγής).

f o = 1/(2π LC) (1) και υφίσταται απόσβεση, λόγω των ωμικών απωλειών του κυκλώματος (ωμική αντίσταση της επαγωγής). Συστήματα εκπομπής Το φέρον σήμα υψηλής συχνότητας (f o ) δημιουργείται τοπικά στον πομπό από κύκλωμα αρμονικού (ημιτονικού) ταλαντωτή. Η αρχή λειτουργίας των ταλαντωτών L-C στηρίζεται στην αυτοταλάντωση,

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής

ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής Ο τελεστικός ενισχυτής, TE (operational ampliier, op-amp) είναι ένα από τα πιο χρήσιμα αναλογικά κυκλώματα. Κατασκευάζεται ως ολοκληρωμένο κύκλωμα (integrated circuit) και

Διαβάστε περισσότερα

5 η ενότητα ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΣΤΟΥΣ ΕΝΙΣΧΥΤΕΣ

5 η ενότητα ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΣΤΟΥΣ ΕΝΙΣΧΥΤΕΣ ρ. Λάμπρος Μπισδούνης Καθηγητής 5 η ενότητα ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΣΤΟΥΣ ΕΝΙΣΧΥΤΕΣ T.E.I. ΔΥΤΙΚΗΣ ΕΛΛΑΔΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. 1 Περιεχόμενα 5 ης ενότητας Στην πέμπτη ενότητα θα μελετήσουμε την ανατροφοδότηση

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Προαιρετική εργασία

Ψηφιακά Ηλεκτρονικά. Προαιρετική εργασία Τ.Ε.Ι. ΑΘΗΝΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΒΙΟΙΑΤΡΙΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ Ψηφιακά Ηλεκτρονικά Προαιρετική εργασία «Κατασκευή δυαδικού απαριθμητή με δεκαδική απεικόνιση δεκάδων και μονάδων» Συνυπεύθυνος

Διαβάστε περισσότερα

Ανάλυση Ηλεκτρικών Κυκλωμάτων

Ανάλυση Ηλεκτρικών Κυκλωμάτων Ανάλυση Ηλεκτρικών Κυκλωμάτων Κεφάλαιο 6: Παθητικά στοιχεία αποθήκευσης ενέργειας Οι διαφάνειες ακολουθούν το βιβλίο του Κων/νου Παπαδόπουλου «Ανάλυση Ηλεκτρικών Κυκλωμάτων» ISBN: 978-960-93-7110-0 κωδ.

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Τελεστικοί Ενισχυτές Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Ο ιδανικός τελεστικός ενισχυτής Είσοδος αντιστροφής Ισοδύναμα Είσοδος μη αντιστροφής A( ) A d 2 1 2 1

Διαβάστε περισσότερα

Ανάλυση και υλοποίηση ταλαντωτή τύπου Colpitts

Ανάλυση και υλοποίηση ταλαντωτή τύπου Colpitts Εργασία στο μάθημα «Εργαστήριο Αναλογικών VLSI» Ανάλυση και υλοποίηση ταλαντωτή τύπου Colpitts Ομάδα Γεωργιάδης Κωνσταντίνος konsgeorg@inf.uth.gr Σκετόπουλος Νικόλαος sketopou@inf.uth.gr ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ

Διαβάστε περισσότερα

Περιεχόμενα. ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος. 1.1 Εισαγωγή

Περιεχόμενα. ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος. 1.1 Εισαγωγή Περιεχόμενα ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος 1.1 Εισαγωγή 1.2 Περιοχή Απογύμνωσης μιας Επαφής pn 1.2.1 Χωρητικότητα της Περιοχής Απογύμνωσης 1.2.2 Κατάρρευση Επαφής 1.3

Διαβάστε περισσότερα

ΗΥ335: Προχωρημένη Ηλεκτρονική. «Βαθμίδες Εξόδου» Φώτης Πλέσσας UTH ΤHMMY

ΗΥ335: Προχωρημένη Ηλεκτρονική. «Βαθμίδες Εξόδου» Φώτης Πλέσσας UTH ΤHMMY ΗΥ335: Προχωρημένη Ηλεκτρονική «Βαθμίδες Εξόδου» Φώτης Πλέσσας fplessas@inf.uth.gr ΤHMMY Σκοπός διάλεξης Γιατί χρησιμοποιούμε στάδια εξόδου Ακόλουθος εκπομπού Παρουσίαση των βασικών προδιαγραφών του Ψαλιδισμός

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF

Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF Κεφάλαιο 6. NA Σωτήριος Ματακιάς, -3, Σχεδίαση Τηλεπικοινωνιακών I Κυκλωμάτων, Κεφάλαιο 5 /3 Βασικές παράμετροι των NA: Receiver Front End Z =5Ω RF Filter - -8dB Z =5Ω

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών) Τα μοντέρνα ψηφιακά κυκλώματα (λογικές πύλες, μνήμες, επεξεργαστές και άλλα σύνθετα κυκλώματα) υλοποιούνται σήμερα

Διαβάστε περισσότερα

Προτεινόμενες Ασκήσεις στις Εξαρτημένες Πηγές και στους Τελεστικούς Ενισχυτές

Προτεινόμενες Ασκήσεις στις Εξαρτημένες Πηγές και στους Τελεστικούς Ενισχυτές Προτεινόμενες Ασκήσεις στις Εξαρτημένες Πηγές στους Τελεστικούς Ενισχυτές από το βιβλίο «Ανάλυση Ηλεκτρικών Κυκλωμάτων», Ν. Μάργαρη Πρόβλημα Να βρεθεί το κέρδος ρεύματος οι αντιστάσεις εισόδου εξόδου της

Διαβάστε περισσότερα

Σελίδα 1 από 8. Απαντήσεις στο φυλλάδιο 52

Σελίδα 1 από 8. Απαντήσεις στο φυλλάδιο 52 Σελίδα 1 από 8 Απαντήσεις στο φυλλάδιο 52 Ερώτηση 1 η : Πολυδονητές ονοµάζονται τα ηλεκτρονικά κυκλώµατα που παράγουν τετραγωνικούς παλµούς. 2 η : Ανάλογα µε τον τρόπο λειτουργίας τους διακρίνονται σε:

Διαβάστε περισσότερα

Σχεδίαση Αναλογικών Κυκλωμάτων VLSI

Σχεδίαση Αναλογικών Κυκλωμάτων VLSI Σχεδίαση Αναλογικών Κυκλωμάτων S «Διαφορικά Ζεύγη» Φώτης Πλέσσας fplessas@f.uth.r Δομή Παρουσίασης Αναθεώρηση απλής διαφορικής λειτουργίας Περιγραφή και ανάλυση του διαφορικού ζεύγους Λόγος απόρριψης κοινού

Διαβάστε περισσότερα

ΜΕΤΑΤΡΟΠΕΙΣ ΣΥΝΕΧΟΥΣ ΡΕΥΜΑΤΟΣ

ΜΕΤΑΤΡΟΠΕΙΣ ΣΥΝΕΧΟΥΣ ΡΕΥΜΑΤΟΣ ΜΑΘ.. 12 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΜΕΤΑΤΡΟΠΕΙΣ ΣΥΝΕΧΟΥΣ ΡΕΥΜΑΤΟΣ 1. ΓΕΝΙΚΑ Οι μετατροπείς συνεχούς ρεύματος επιτελούν τη μετατροπή μιας τάσης συνεχούς μορφής, σε συνεχή τάση με ρυθμιζόμενο σταθερό πλάτος ή και πολικότητα.

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS Εισαγωγή στη Μικροηλεκτρονική (ΕΤΥ-482) 1 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS Α. Αναστροφέας MOSFET. Α.1 Αναστροφέας MOSFET µε φορτίο προσαύξησης. Ο αναστροφέας MOSFET (πύλη NOT) αποτελείται από

Διαβάστε περισσότερα

Διαφορικοί Ενισχυτές

Διαφορικοί Ενισχυτές Διαφορικοί Ενισχυτές Γενικά: Ο Διαφορικός ενισχυτής (ΔΕ) είναι το βασικό δομικό στοιχείο ενός τελεστικού ενισχυτή. Η λειτουργία ενός ΔΕ είναι η ενίσχυση της διαφοράς μεταξύ δύο σημάτων εισόδου. Τα αρχικά

Διαβάστε περισσότερα

1. Ιδανικό κύκλωμα LC εκτελεί ηλεκτρικές ταλαντώσεις και η χρονική εξίσωση του φορτίου του πυκνωτή

1. Ιδανικό κύκλωμα LC εκτελεί ηλεκτρικές ταλαντώσεις και η χρονική εξίσωση του φορτίου του πυκνωτή Εισαγωγικές ασκήσεις στις ηλεκτρικές ταλαντώσεις 1. Ιδανικό κύκλωμα L εκτελεί ηλεκτρικές ταλαντώσεις και η χρονική εξίσωση του φορτίου του πυκνωτή δίνεται από τη σχέση q = 10 6 συν(10 ) (S.I.). Ο συντελεστής

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 ΚΥΚΛΩΜΑ R-L-C: ΣΥΝΔΕΣΗ ΣΕ ΣΕΙΡΑ ΣΥΝΤΟΝΙΣΜΟΣ

ΑΣΚΗΣΗ 7 ΚΥΚΛΩΜΑ R-L-C: ΣΥΝΔΕΣΗ ΣΕ ΣΕΙΡΑ ΣΥΝΤΟΝΙΣΜΟΣ ΑΣΚΗΣΗ 7 ΚΥΚΛΩΜΑ R-L-C: ΣΥΝΔΕΣΗ ΣΕ ΣΕΙΡΑ ΣΥΝΤΟΝΙΣΜΟΣ 1 Σκοπός Στην άσκηση αυτή μελετάται η συμπεριφορά ενός κυκλώματος RLC σε σειρά κατά την εφαρμογή εναλλασσόμενου ρεύματος. Συγκεκριμένα μελετάται η μεταβολή

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ

ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ ΚΕΦΑΛΑΙΟ 3ο ΣΥΣΤΗΜΑΤΑ ΕΚΠΟΜΠΗΣ & ΛΗΨΗΣ Ρ/Τ ΣΥΣΤΗΜΑΤΩΝ Γενικό διάγραμμα πομπού ΕΠΕΞΕΡΓΑΣΙΑ ΥΨΗΛΕΣ ΣΥΧΝΟΤΗΤΕΣ Δημιουργία φέροντος σήματος Το φέρον σήμα (fo) παράγεται από ημιτονικούς

Διαβάστε περισσότερα

4 η ενότητα ΕΝΙΣΧΥΤΕΣ ΠΟΛΛΩΝ ΒΑΘΜΙΔΩΝ

4 η ενότητα ΕΝΙΣΧΥΤΕΣ ΠΟΛΛΩΝ ΒΑΘΜΙΔΩΝ ρ. Λάμπρος Μπισδούνης Καθηγητής 4 η ενότητα ΕΝΙΣΧΥΤΕΣ ΠΟΛΛΩΝ ΒΑΘΜΙΔΩΝ T..I. ΥΤΙΚΗΣ ΕΛΛΑ ΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. Περιεχόμενα 4 ης ενότητας Στην τέταρτη ενότητα θα μελετήσουμε τους ενισχυτές

Διαβάστε περισσότερα

«Ενισχυτές ενός τρανζίστορ και πολλών τρανζίστορ»

«Ενισχυτές ενός τρανζίστορ και πολλών τρανζίστορ» ΗΥ335: Προχωρημένη Ηλεκτρονική «Ενισχυτές ενός τρανζίστορ και πολλών τρανζίστορ» Φώτης Πλέσσας fplessas@inf.uth.gr ΤΗMMΥ Σκοπός διάλεξης Παρουσίαση των σημαντικότερων τοπολογιών ενισχυτών με ένα και περισσότερα

Διαβάστε περισσότερα

Φυσική Γ' Θετικής και Τεχνολογικής Κατ/σης

Φυσική Γ' Θετικής και Τεχνολογικής Κατ/σης Ηλεκτρικές Ταλαντώσεις ο ΘΕΜΑ Α Ερωτήσεις Πολλαπλής Επιλογής Να γράψετε στο τετράδιό σας τον αριθμό καθεμιάς από τις παρακάτω ερωτήσεις και δίπλα το γράμμα που αντιστοιχεί στη σωστή απάντηση Ηλεκτρικό

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΗΣ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΗΣ ΔΙΟΔΟΣ (Μάθημα 4 ο 5 ο 6 ο 7 ο ) 1/12 4 o εργαστήριο Ιδανική δίοδος n Συμβολισμός της διόδου n 2/12 4 o εργαστήριο Στατική χαρακτηριστική διόδου Άνοδος (+) Κάθοδος () Αν στην ιδανική

Διαβάστε περισσότερα

Διαγώνισμα 5 Ζήτημα ο 1

Διαγώνισμα 5 Ζήτημα ο 1 Διαγώνισμα 5 Ζήτημα ο (σε κάθε ερώτημα του ζητήματος μια είναι η σωστή).θεωρειστε ένα σύστημα κατακόρυφου ελατηρίου- σώματος το οποίο μπορεί να κάνει ταλάντωση. Θεωρείστε ότι υπάρχει απόσβεση. Αρχικά το

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές

Τελεστικοί Ενισχυτές Τελεστικοί Ενισχυτές Ο Τελεστικός Ενισχυτής (ΤΕ) αποτελεί ένα ιδιαίτερο είδος ενισχυτή, το οποίο έχει ευρύτατη αποδοχή ως δομικό στοιχείο των ηλεκτρονικών κυκλωμάτων. Η μεγάλη του δημοτικότητα οφείλεται

Διαβάστε περισσότερα

Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ

Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ Έκδοση 4 η 4 Στη Χαρά τον Νίκο και τον Λευτέρη 5 6 ΠΕΡΙΕΧΟΜΕΝΑ ΠΡΟΛΟΓΟΣ 15 ΚΕΦΑΛΑΙΟ 1 ΕΣΩΤΕΡΙΚΗ ΔΟΜΗ ΤΟΥ ΤΕΛΕΣΤΙΚΟΥ ΕΝΙΣΧΥΤΗ 1.1. ΕΙΣΑΓΩΓΗ 19 1.2. Ο

Διαβάστε περισσότερα

ΕΧΕΙ ΤΑΞΙΝΟΜΗΘΕΙ ΑΝΑ ΕΝΟΤΗΤΑ ΚΑΙ ΑΝΑ ΤΥΠΟ ΓΙΑ ΔΙΕΥΚΟΛΥΝΣΗ ΤΗΣ ΜΕΛΕΤΗΣ ΣΑΣ ΚΑΛΗ ΕΠΙΤΥΧΙΑ ΣΤΗ ΠΡΟΣΠΑΘΕΙΑ ΣΑΣ ΚΙ 2014

ΕΧΕΙ ΤΑΞΙΝΟΜΗΘΕΙ ΑΝΑ ΕΝΟΤΗΤΑ ΚΑΙ ΑΝΑ ΤΥΠΟ ΓΙΑ ΔΙΕΥΚΟΛΥΝΣΗ ΤΗΣ ΜΕΛΕΤΗΣ ΣΑΣ ΚΑΛΗ ΕΠΙΤΥΧΙΑ ΣΤΗ ΠΡΟΣΠΑΘΕΙΑ ΣΑΣ ΚΙ 2014 ΤΟ ΥΛΙΚΟ ΕΧΕΙ ΑΝΤΛΗΘΕΙ ΑΠΟ ΤΑ ΨΗΦΙΑΚΑ ΕΚΠΑΙΔΕΥΤΙΚΑ ΒΟΗΘΗΜΑΤΑ ΤΟΥ ΥΠΟΥΡΓΕΙΟΥ ΠΑΙΔΕΙΑΣ http://wwwstudy4examsgr/ ΕΧΕΙ ΤΑΞΙΝΟΜΗΘΕΙ ΑΝΑ ΕΝΟΤΗΤΑ ΚΑΙ ΑΝΑ ΤΥΠΟ ΓΙΑ ΔΙΕΥΚΟΛΥΝΣΗ ΤΗΣ ΜΕΛΕΤΗΣ ΣΑΣ ΚΑΛΗ ΕΠΙΤΥΧΙΑ ΣΤΗ

Διαβάστε περισσότερα

ΜΑΘΗΜΑ / ΤΑΞΗ : ΦΥΣΙΚΗ ΚΑΤΕΥΘΥΝΣΗΣ / Γ ΛΥΚΕΙΟΥ ΣΕΙΡΑ: ΑΠΑΝΤΗΣΕΙΣ Α ΗΜΕΡΟΜΗΝΙΑ: ΑΡΧΩΝ ΜΑΡΚΟΣ-ΤΖΑΓΚΑΡΑΚΗΣ ΓΙΑΝΝΗΣ-KΥΡΙΑΚΑΚΗΣ ΓΙΩΡΓΟΣ

ΜΑΘΗΜΑ / ΤΑΞΗ : ΦΥΣΙΚΗ ΚΑΤΕΥΘΥΝΣΗΣ / Γ ΛΥΚΕΙΟΥ ΣΕΙΡΑ: ΑΠΑΝΤΗΣΕΙΣ Α ΗΜΕΡΟΜΗΝΙΑ: ΑΡΧΩΝ ΜΑΡΚΟΣ-ΤΖΑΓΚΑΡΑΚΗΣ ΓΙΑΝΝΗΣ-KΥΡΙΑΚΑΚΗΣ ΓΙΩΡΓΟΣ ΜΑΘΗΜΑ / ΤΑΞΗ : ΦΥΣΙΚΗ ΚΑΤΕΥΘΥΝΣΗΣ / Γ ΛΥΚΕΙΟΥ ΣΕΙΡΑ: ΑΠΑΝΤΗΣΕΙΣ Α ΗΜΕΡΟΜΗΝΙΑ: 19-10-2014 ΕΠΙΜΕΛΕΙΑ ΔΙΑΓΩΝΙΣΜΑΤΟΣ: ΑΡΧΩΝ ΜΑΡΚΟΣ-ΤΖΑΓΚΑΡΑΚΗΣ ΓΙΑΝΝΗΣ-KΥΡΙΑΚΑΚΗΣ ΓΙΩΡΓΟΣ ΘΕΜΑ Α Οδηγία: Στις ερωτήσεις Α1 Α4

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΑ Ι. ΚΕΦΑΛΑΙΟ 4 Ο : FET (Τρανζίστορ επίδρασης πεδίου)

ΗΛΕΚΤΡΟΝΙΚΑ Ι. ΚΕΦΑΛΑΙΟ 4 Ο : FET (Τρανζίστορ επίδρασης πεδίου) ΗΛΕΚΤΡΟΝΙΚΑ Ι ΚΕΦΑΛΑΙΟ 4 Ο : FET (Τρανζίστορ επίδρασης πεδίου) 1 FET Δομή και λειτουργία Τα τρανζίστορ επίδρασης πεδίου είναι ηλεκτρονικά στοιχεία στα οποία οι φορείς του ηλεκτρικού ρεύματος είναι ενός

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής

ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής Ο διαφορικός ενισχυτής (differential amplifier) είναι από τα πλέον διαδεδομένα και χρήσιμα κυκλώματα στις ενισχυτικές διατάξεις. Είναι βασικό δομικό στοιχείο του τελεστικού

Διαβάστε περισσότερα

ΤΙ ΕΙΝΑΙ Η ΗΛΕΚΤΡΟΝΙΚΗ;

ΤΙ ΕΙΝΑΙ Η ΗΛΕΚΤΡΟΝΙΚΗ; ΤΙ ΕΙΝΑΙ Η ΗΛΕΚΤΡΟΝΙΚΗ; Ηλεκτρονικοί Υπολογιστές Κινητά τηλέφωνα Τηλεπικοινωνίες Δίκτυα Ο κόσμος της Ηλεκτρονικής Ιατρική Ενέργεια Βιομηχανία Διασκέδαση ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΗΛΕΚΤΡΟΝΙΚΗ Τι περιέχουν οι ηλεκτρονικές

Διαβάστε περισσότερα

Άσκηση 5. Τρανζίστορ Διπολικής Επαφής σε συνδεσμολογία Κοινής Βάσης

Άσκηση 5. Τρανζίστορ Διπολικής Επαφής σε συνδεσμολογία Κοινής Βάσης ΤΕΙ ΔΥΤΙΚΗΣ ΕΛΛΑΔΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. ΗΛΕΚΤΡΟΝΙΚΑ Ι (ΕΡ) Άσκηση 5 Τρανζίστορ Διπολικής Επαφής σε συνδεσμολογία Κοινής Βάσης Στόχος Ο στόχος της εργαστηριακής άσκησης είναι η μελέτη των

Διαβάστε περισσότερα

Ανάλυση Ηλεκτρικών Κυκλωμάτων

Ανάλυση Ηλεκτρικών Κυκλωμάτων Ανάλυση Ηλεκτρικών Κυκλωμάτων Κεφάλαιο 7: Μεταβατική απόκριση κυκλωμάτων RL και RC Οι διαφάνειες ακολουθούν το βιβλίο του Κων/νου Παπαδόπουλου «Ανάλυση Ηλεκτρικών Κυκλωμάτων» ISBN: 9789609371100 κωδ. ΕΥΔΟΞΟΣ:

Διαβάστε περισσότερα

Πόλωση των Τρανζίστορ

Πόλωση των Τρανζίστορ Πόλωση των Τρανζίστορ Πόλωση λέμε την κατάλληλη συνεχή τάση που πρέπει να εφαρμόσουμε στο κύκλωμα που περιλαμβάνει κάποιο ηλεκτρονικό στοιχείο (π.χ τρανζίστορ), έτσι ώστε να εξασφαλίσουμε την ομαλή λειτουργία

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΟΛΟΚΛΗΡΩΜΕΝΩΝ ΚΥΚΛΩΜΑΤΩΝ ΚΑΙ ΣΥΣΤΗΜΑΤΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΑΣΚΗΣΗ 1η: ΜΕΛΕΤΗ ΤΟΥ MOSFET Σκοπός της άσκησης Στην άσκηση αυτή θα μελετήσουμε το τρανζίστορ τύπου MOSFET και τη λειτουργία

Διαβάστε περισσότερα

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής Τ.Ε.

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ. 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. ρ. Λάμπρος Μπισδούνης.

ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ. 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. ρ. Λάμπρος Μπισδούνης. ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ ρ. Λάμπρος Μπισδούνης Καθηγητής 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ T.E.I. ΥΤΙΚΗΣ ΕΛΛΑ ΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. Περιεχόμενα 3 ης

Διαβάστε περισσότερα

Μνήμες RAM. Διάλεξη 12

Μνήμες RAM. Διάλεξη 12 Μνήμες RAM Διάλεξη 12 Δομή της διάλεξης Εισαγωγή Κύτταρα Στατικής Μνήμης Κύτταρα Δυναμικής Μνήμης Αισθητήριοι Ενισχυτές Αποκωδικοποιητές Διευθύνσεων Ασκήσεις 2 Μνήμες RAM Εισαγωγή 3 Μνήμες RAM RAM: μνήμη

Διαβάστε περισσότερα

Ειδικά Θέματα Ηλεκτρονικών 1

Ειδικά Θέματα Ηλεκτρονικών 1 Ειδικά Θέματα Ηλεκτρονικών 1 ΠΕΡΙΕΧΟΜΕΝΑ ΚΕΦΑΛΑΙΟ 3...2 ΑΠΟΚΡΙΣΗ ΣΥΧΝΟΤΗΤΑΣ ΕΝΙΣΧΥΤΩΝ...2 3.1 Απόκριση συχνότητας ενισχυτών...2 3.1.1 Παραμόρφωση στους ενισχυτές...5 3.1.2 Πιστότητα των ενισχυτών...6 3.1.3

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Flip-Flop: D Control Systems Laboratory

Flip-Flop: D Control Systems Laboratory Flip-Flop: Control Systems Laboratory Είναι ένας τύπος συγχρονιζόμενου flip- flop, δηλαδή ενός flip- flop όπου οι έξοδοί του δεν αλλάζουν μόνο με αλλαγή των εισόδων R, S αλλά χρειάζεται ένας ωρολογιακός

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 2η. Σημειώσεις μαθήματος: E mail:

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 2η. Σημειώσεις μαθήματος: E mail: Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/ E mail: pasv@teiath.gr 2 1 Όπως

Διαβάστε περισσότερα

Ηλεκτρικά Κυκλώματα & Δίκτυα ΙΙ. Ανασκόπηση Κεφαλαίου «Τελεστικοί Ενισχυτές»

Ηλεκτρικά Κυκλώματα & Δίκτυα ΙΙ. Ανασκόπηση Κεφαλαίου «Τελεστικοί Ενισχυτές» Ηλεκτρικά Κυκλώματα & Δίκτυα ΙΙ Εισαγωγή στα Ολο. Κυκλ. Βασική Φυσική MOS Ενισχυτές ενός σταδίου Διαφορικοί Ενισχυτές Καθρέφτες Ρεύματος Απόκριση Συχνότητας Ηλεκτρικός Θόρυβος Ανατροφοδότηση Σχεδιασμός

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Μάθηµα 5ο.. Λιούπης

Ψηφιακά Ηλεκτρονικά. Μάθηµα 5ο.. Λιούπης Ψηφιακά Ηλεκτρονικά Μάθηµα 5ο. Λιούπης Τεχνολογία CMOS Υλοποιεί την πλειοψηφία των µοντέρνων ψηφιακών κυκλωµάτων λογικές πύλες µνήµες επεξεργαστές άλλα σύνθετα κυκλώµατα Συνδυάζει συµπληρωµατικά pmos και

Διαβάστε περισσότερα

4. Ποιο από τα παρακάτω δεν ισχύει για την ευαισθησία ενός δέκτη ΑΜ; Α. Ευαισθησία ενός δέκτη καθορίζεται από την στάθμη θορύβου στην είσοδό του.

4. Ποιο από τα παρακάτω δεν ισχύει για την ευαισθησία ενός δέκτη ΑΜ; Α. Ευαισθησία ενός δέκτη καθορίζεται από την στάθμη θορύβου στην είσοδό του. Τηλεπικοινωνικακά Συστήματα Ι - Ενδεικτικές Ερωτήσεις Ασκήσεις Δ.Ευσταθίου Τμήμα Μηχανικών Πληροφορικής ΤΕ, ΤΕΙ Κεντρικής Μακεδονίας 1) 1. Ποιο από τα παρακάτω δεν ισχύει για το χρονικό διάστημα που μηδενίζεται

Διαβάστε περισσότερα

Ενισχυτικές Διατάξεις 1. Πόλωση BJT

Ενισχυτικές Διατάξεις 1. Πόλωση BJT Ενισχυτικές Διατάξεις 1 Πόλωση BJT Η πόλωση τρανζίστορ όπως την έχετε γνωρίσει, υποφέρει από δύο βασικά μειονεκτήματα: Υπερβολική χρήση πηγών dc. Το γεγονός αυτό είναι ιδιαίτερα έντονο σε κυκλώματα πολυβάθμιων

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος...13

Περιεχόμενα. Πρόλογος...13 Περιεχόμενα Πρόλογος...3 Κεφάλαιο : Στοιχεία ηλεκτρικών κυκλωμάτων...5. Βασικά ηλεκτρικά μεγέθη...5.. Ηλεκτρικό φορτίο...5.. Ηλεκτρικό ρεύμα...5..3 Τάση...6..4 Ενέργεια...6..5 Ισχύς...6..6 Σύνοψη...7.

Διαβάστε περισσότερα

Αναφορά Εργαστηριακής Άσκησης PLL Μάθημα: Εργαστήριο Αναλογικών VLSI Ομάδα: Αδαμαντίδη Αικατερίνη 1146 Κωνσταντίνος Μουρτζιάπης 867

Αναφορά Εργαστηριακής Άσκησης PLL Μάθημα: Εργαστήριο Αναλογικών VLSI Ομάδα: Αδαμαντίδη Αικατερίνη 1146 Κωνσταντίνος Μουρτζιάπης 867 Αναφορά Εργαστηριακής Άσκησης PLL Μάθημα: Εργαστήριο Αναλογικών VLSI Ομάδα: Αδαμαντίδη Αικατερίνη 1146 Κωνσταντίνος Μουρτζιάπης 867 Εισαγωγή: Ο βρόγχος κλειδωμένης φάσης, ή PLL, είναι ένα απο τα πιο χρήσιμα

Διαβάστε περισσότερα

Μεταβατική Ανάλυση - Φάσορες. Κατάστρωση διαφορικών εξισώσεων. Μεταβατική απόκριση. Γενικό μοντέλο. ,, ( ) είναι γνωστές ποσότητες (σταθερές)

Μεταβατική Ανάλυση - Φάσορες. Κατάστρωση διαφορικών εξισώσεων. Μεταβατική απόκριση. Γενικό μοντέλο. ,, ( ) είναι γνωστές ποσότητες (σταθερές) Μεταβατική Ανάλυση - Φάσορες Πρόσθετες διαφάνειες διαλέξεων Αλέξανδρος Πίνο Δεκέμβριος 2017 Γενικό μοντέλο Απόκριση κυκλώματος πρώτης τάξης, δηλαδή με ένα μόνο στοιχείο C ή L 3 Μεταβατική απόκριση Ξαφνική

Διαβάστε περισσότερα

Ανάλυση Ηλεκτρικών Κυκλωμάτων

Ανάλυση Ηλεκτρικών Κυκλωμάτων Ανάλυση Ηλεκτρικών Κυκλωμάτων Κεφάλαιο 16: Απόκριση συχνότητας Οι διαφάνειες ακολουθούν το βιβλίο του Κων/νου Παπαδόπουλου «Ανάλυση Ηλεκτρικών Κυκλωμάτων» ISBN: 978-960-93-7110-0 κωδ. ΕΥΔΟΞΟΣ: 50657177

Διαβάστε περισσότερα

Σχεδίαση Ηλεκτρονικών Κυκλωμάτων RF

Σχεδίαση Ηλεκτρονικών Κυκλωμάτων RF ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ηλεκτρονικών Κυκλωμάτων F Ενότητα: Φίλτρα και Επαναληπτικές Ασκήσεις Στυλιανός Μυτιληναίος Τμήμα Ηλεκτρονικής, Σχολή

Διαβάστε περισσότερα

Εισαγωγή. Στατική Λειτουργία V DD Q P Q N Q N =SAT QP=LIN QN=LIN Q P =SAT. Vi (Volts)

Εισαγωγή. Στατική Λειτουργία V DD Q P Q N Q N =SAT QP=LIN QN=LIN Q P =SAT. Vi (Volts) Εισαγωγή Η τεχνολογία COS εφευρέθηκε από τον Δρ. Frank Wanlass (17/5/33) το 1963 και κατοχυρώθηκε με πατέντα το 1967 (Αρ. πατέντας 3,356,5). Η COS τεχνολογία είναι αυτή που έχει κάνει πραγματικότητα την

Διαβάστε περισσότερα

Από τους κλασικούς ταλαντωτές, στους ταλαντωτές που ελέγχονται από τάση ή

Από τους κλασικούς ταλαντωτές, στους ταλαντωτές που ελέγχονται από τάση ή Από τους κλασικούς ταλαντωτές, στους ταλαντωτές που ελέγχονται από τάση ή VCOs: Voltage Controlled Oscillators του Αθανάσιου Νασιόπουλου, Καθ. Τμήμα Ηλεκτρονικής, ΤΕΙ Αθήνας 1. Πρόλογος Εγκαινιάζουμε αυτή

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗΣ

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗΣ Εισαγωγή στη Μικροηλεκτρονική (ΕΤΥ-482) 1 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗΣ A. Πίνακες αληθείας λογικών πυλών. Στη θετική λογική το λογικό 0 παριστάνεται µε ένα χαµηλό δυναµικό, V L, ενώ το λογικό 1

Διαβάστε περισσότερα

Βασικά Στοιχεία Αναλογικών Ηλεκτρονικών

Βασικά Στοιχεία Αναλογικών Ηλεκτρονικών Βασικά Στοιχεία Αναλογικών Ηλεκτρονικών Ηλεκτρονική ΗΥ231 Εισαγωγή στην Ηλεκτρονική Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Σήµατα Ένα αυθαίρετο σήµα τάσης v s (t) 2 Φάσµα συχνοτήτων των σηµάτων

Διαβάστε περισσότερα

NETCOM S.A. ΨΗΦΙΑΚΟΣ ΕΛΕΓΧΟΣ ΠΑΛΜΟΜΕΤΑΤΡΟΠΕΩΝ DIGITAL CONTROL OF SWITCHING POWER CONVERTERS

NETCOM S.A. ΨΗΦΙΑΚΟΣ ΕΛΕΓΧΟΣ ΠΑΛΜΟΜΕΤΑΤΡΟΠΕΩΝ DIGITAL CONTROL OF SWITCHING POWER CONVERTERS NETCOM S.A. ΨΗΦΙΑΚΟΣ ΕΛΕΓΧΟΣ ΠΑΛΜΟΜΕΤΑΤΡΟΠΕΩΝ DIGITAL CONTROL OF SWITCHING POWER CONVERTERS Αρχή λειτουργίας των Αναλογικών και ψηφιακών Παλμομετατροπεων Ο παλμός οδήγησης ενός παλμομετατροπέα, με αναλογική

Διαβάστε περισσότερα

Τηλεπικοινωνικακά Συστήματα Ι - Ενδεικτικές Ερωτήσεις Ασκήσεις 1)

Τηλεπικοινωνικακά Συστήματα Ι - Ενδεικτικές Ερωτήσεις Ασκήσεις 1) Τηλεπικοινωνικακά Συστήματα Ι - Ενδεικτικές Ερωτήσεις Ασκήσεις Δ.Ευσταθίου Τμήμα Μηχανικών Πληροφορικής ΤΕ, ΤΕΙ Κεντρικής Μακεδονίας 1) 1. Ποια από τις παρακάτω συχνότητες δεν εμφανίζεται στην έξοδο ενός

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ 1. ΕΙΣΑΓΩΓΙΚΕΣ ΕΝΝΟΙΕΣ 2. ΣΤΟΙΧΕΙΑ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΠΕΡΙΕΧΟΜΕΝΑ 1. ΕΙΣΑΓΩΓΙΚΕΣ ΕΝΝΟΙΕΣ 2. ΣΤΟΙΧΕΙΑ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΠΕΡΙΕΧΟΜΕΝΑ 1. ΕΙΣΑΓΩΓΙΚΕΣ ΕΝΝΟΙΕΣ 1.1 Εισαγωγή 1.1 1.2 Συμβολισμοί και μονάδες 1.3 1.3 Φορτίο, τάση και ενέργεια 1.5 Φορτίο και ρεύμα 1.5 Τάση 1.6 Ισχύς και Ενέργεια 1.6 1.4 Γραμμικότητα 1.7 Πρόσθεση

Διαβάστε περισσότερα

Για τη μοντελοποίηση των ταλαντωτών μπορεί να χρησιμοποιηθεί το παρακάτω δομικό διάγραμμα:

Για τη μοντελοποίηση των ταλαντωτών μπορεί να χρησιμοποιηθεί το παρακάτω δομικό διάγραμμα: 7. ΤAΛΑΝΤΩΤΕΣ 7.. Γενικά Οι ταλαντωτές είναι κυκλώματα που, στην έξοδό τους, εμφανίζουν κυματομορφές συγκεκριμένης συχνότητας f o. Οι ταλαντωτές περιλαμβάνουν έναν ενισχυτή και ένα κύκλωμα θετικής ανάδρασης

Διαβάστε περισσότερα

Ανάδραση. Ηλεκτρονική Γ τάξη Επ. Καθηγ. Ε. Καραγιάννη

Ανάδραση. Ηλεκτρονική Γ τάξη Επ. Καθηγ. Ε. Καραγιάννη Ανάδραση Ηλεκτρονική Γ τάξη Επ. Καθηγ. Ε. Καραγιάννη 3 Συστήματα Ελέγχου Σύστημα Ελέγχου Ανοικτού Βρόχου Α Σύστημα Ελέγχου Κλειστού Βρόχου με Ανάδραση Ε =β Α β Μάρτιος 2 Μάθημα 3, Ηλεκτρονική Γ' Έτος 2

Διαβάστε περισσότερα

Κεφάλαιο 1 ο. Βασικά στοιχεία των Κυκλωμάτων

Κεφάλαιο 1 ο. Βασικά στοιχεία των Κυκλωμάτων Κεφάλαιο 1 ο Βασικά στοιχεία των Κυκλωμάτων Ένα ηλεκτρικό/ηλεκτρονικό σύστημα μπορεί εν γένει να παρασταθεί από ένα κυκλωματικό διάγραμμα ή δικτύωμα, το οποίο αποτελείται από στοιχεία δύο ακροδεκτών συνδεδεμένα

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ. 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. ρ. Λάμπρος Μπισδούνης.

ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ. 3 η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. ρ. Λάμπρος Μπισδούνης. ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ ρ. Λάμπρος Μπισδούνης Καθηγητής η ενότητα ΡΥΘΜΙΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΠΡΟΣΑΡΜΟΓΗ ΜΕ ΤΕΧΝΙΚΕΣ ΠΑΘΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ T... ΥΤΙΚΗΣ ΕΛΛΑ ΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. Περιεχόμενα ης ενότητας

Διαβάστε περισσότερα

ΠΑΡΑΓΩΓΗ ΜΙΚΡΟΚΥΜAΤΩΝ ΜΕ ΔΙΟΔΟ GUNN

ΠΑΡΑΓΩΓΗ ΜΙΚΡΟΚΥΜAΤΩΝ ΜΕ ΔΙΟΔΟ GUNN ΠΑΡΑΓΩΓΗ ΜΙΚΡΟΚΥΜAΤΩΝ ΜΕ ΔΙΟΔΟ GUNN Το φαινόμενο Gunn, ή το φαινόμενο των μεταφερόμενων ηλεκτρονίων, που ανακαλύφθηκε από τον Gunn το 1963 δηλώνει ότι όταν μια μικρή τάση DC εφαρμόζεται κατά μήκος του

Διαβάστε περισσότερα

α) = β) Α 1 = γ) δ) Μονάδες 5

α) = β) Α 1 = γ) δ) Μονάδες 5 ΜΑΘΗΜΑ / ΤΑΞΗ : ΦΥΣΙΚΗ ΚΑΤΕΥΘΥΝΣΗΣ / Γ ΛΥΚΕΙΟΥ ΣΕΙΡΑ: Α ΗΜΕΡΟΜΗΝΙΑ: 19-10-2014 ΕΠΙΜΕΛΕΙΑ ΔΙΑΓΩΝΙΣΜΑΤΟΣ: ΑΡΧΩΝ ΜΑΡΚΟΣ-ΤΖΑΓΚΑΡΑΚΗΣ ΓΙΑΝΝΗΣ-ΚΥΡΙΑΚΑΚΗΣ ΓΙΩΡΓΟΣ ΘΕΜΑ Α Οδηγία: Στις ερωτήσεις Α1 Α4 να γράψετε

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΙΚΩΝ ΜΕΤΡΗΣΕΩΝ Ι. Σημειώσεις Εργαστηριακών Ασκήσεων

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΙΚΩΝ ΜΕΤΡΗΣΕΩΝ Ι. Σημειώσεις Εργαστηριακών Ασκήσεων ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ Σχολή Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Τομέας Ηλεκτρικών Βιομηχανικών Διατάξεων και Συστημάτων Αποφάσεων ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΙΚΩΝ ΜΕΤΡΗΣΕΩΝ Ι Σημειώσεις Εργαστηριακών

Διαβάστε περισσότερα

FSK Διαμόρφωση και FSK Αποδιαμόρφωση (FSK Modulation-FSK Demodulation)

FSK Διαμόρφωση και FSK Αποδιαμόρφωση (FSK Modulation-FSK Demodulation) FSK Διαμόρφωση και FSK Αποδιαμόρφωση (FSK Modulation-FSK Demodulation) ΣΚΟΠΟΙ ΤΗΣ ΑΣΚΗΣΗΣ Η εκμάθηση της αρχής λειτουργίας της ψηφιακής διαμόρφωσης συχνότητας (Frequency Shift Keying, FSK) και της αποδιαμόρφωσής

Διαβάστε περισσότερα

PWM (Pulse Width Modulation) Διαμόρφωση εύρους παλμών

PWM (Pulse Width Modulation) Διαμόρφωση εύρους παλμών PWM (Pulse Width Modulation) Διαμόρφωση εύρους παλμών Μία PWM κυματομορφή στην πραγματικότητα αποτελεί μία περιοδική κυματομορφή η οποία έχει δύο τμήματα. Το τμήμα ΟΝ στο οποίο η κυματομορφή έχει την μέγιστη

Διαβάστε περισσότερα

Άσκηση 10 Στοιχεία ηλεκτρονικής τεχνολογίας

Άσκηση 10 Στοιχεία ηλεκτρονικής τεχνολογίας Άσκηση 10 Στοιχεία ηλεκτρονικής τεχνολογίας ΔΙΟΔΟΣ Οι περισσότερες ηλεκτρονικές συσκευές όπως οι τηλεοράσεις, τα στερεοφωνικά συγκροτήματα και οι υπολογιστές χρειάζονται τάση dc για να λειτουργήσουν σωστά.

Διαβάστε περισσότερα

Σχεδίαση Αναλογικών Κυκλωμάτων VLSI

Σχεδίαση Αναλογικών Κυκλωμάτων VLSI Σχεδίαση Αναλογικών Κυκλωμάτων VLSI «Τρανζίστορ και Απλά Κυκλώματα» (επανάληψη βασικών γνώσεων) Φώτης Πλέσσας fplessas@inf.uth.gr Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ 1 Δομή Παρουσίασης MOSFET

Διαβάστε περισσότερα

ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΗΛΕΚΤΡΟΝΙΚΑ ΙΙ» ΗΜΕΡΟΜΗΝΙΑ: 23/06/2016 ΜΟΝΟ ΓΙΑ ΤΟΥΣ ΕΠΙ ΠΤΥΧΙΩ ΦΟΙΤΗΤΕΣ

ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΗΛΕΚΤΡΟΝΙΚΑ ΙΙ» ΗΜΕΡΟΜΗΝΙΑ: 23/06/2016 ΜΟΝΟ ΓΙΑ ΤΟΥΣ ΕΠΙ ΠΤΥΧΙΩ ΦΟΙΤΗΤΕΣ ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΗΛΕΚΤΡΟΝΙΚΑ ΙΙ» ΗΜΕΡΟΜΗΝΙΑ: /6/6 ΘΕΜΑ ο (5 μονάδες Για τον ενισχυτή του παρακάτω σχήματος δίνονται: =, = 6 kω, = kω και = = Ε = = kω, ενώ για το τρανζίστορ δίνονται: = 78, β

Διαβάστε περισσότερα

Λογικά Κυκλώματα CMOS. Διάλεξη 5

Λογικά Κυκλώματα CMOS. Διάλεξη 5 Λογικά Κυκλώματα CMOS Διάλεξη 5 Δομή της διάλεξης Εισαγωγή Η τεχνολογία αντιστροφέων CMOS Λειτουργία του κυκλώματος Χαρακτηριστική μεταφοράς τάσης Περιθώρια θορύβου Κατανάλωση ισχύος Οι πύλες CMOS NOR

Διαβάστε περισσότερα

4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER

4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER 4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER Σκοπός του κεφαλαίου είναι να παρουσιάσει μερικές εφαρμογές του Μετασχηματισμού Fourier (ΜF). Ειδικότερα στο κεφάλαιο αυτό θα περιγραφούν έμμεσοι τρόποι

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 5 Ο : ΣΥΝΤΟΝΙΣΜΟΣ ΑΠΛΩΝ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΚΕΦΑΛΑΙΟ 5 Ο : ΣΥΝΤΟΝΙΣΜΟΣ ΑΠΛΩΝ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΚΕΦΑΛΑΙΟ 5 Ο : ΣΥΝΤΟΝΙΣΜΟΣ ΑΠΛΩΝ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ 1 Ο συντονισμός είναι μια κατάσταση κατά την οποία το φανταστικό μέρος της σύνθετης αντίστασης ενός κυκλώματος RCL μηδενίζεται. Αυτό συμβαίνει γιατί

Διαβάστε περισσότερα

Τρίτο Σετ Φροντιστηριακών ασκήσεων Ψηφιακών Ηλεκτρονικών. Δρ. Χ. Μιχαήλ

Τρίτο Σετ Φροντιστηριακών ασκήσεων Ψηφιακών Ηλεκτρονικών. Δρ. Χ. Μιχαήλ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ Η/Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ Τρίτο Σετ Φροντιστηριακών ασκήσεων Ψηφιακών Ηλεκτρονικών Δρ. Χ. Μιχαήλ Πάτρα, 2010 ΑΣΚΗΣΗ 1 Ένας μικροεπεξεργαστής πρέπει να οδηγήσει ένα δίαυλο

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (7 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (7 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (7 η σειρά διαφανειών) Τα τρανζίστορ επίδρασης πεδίου είναι ηλεκτρονικά στοιχεία στα οποία οι φορείς του ηλεκτρικού ρεύματος είναι ενός είδους

Διαβάστε περισσότερα

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας 2 η διάλεξη 25 Σεπτεμβρίου Πραγματικά τρανζίστορ Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Η τάση στο gate του τρανζίστορ

Διαβάστε περισσότερα

ΕΚΦΩΝΗΣΕΙΣ ΑΣΚΗΣΕΩΝ. Το ιδανικό κύκλωμα LC του σχήματος εκτελεί αμείωτες ηλεκτρικές ταλαντώσεις, με περίοδο

ΕΚΦΩΝΗΣΕΙΣ ΑΣΚΗΣΕΩΝ. Το ιδανικό κύκλωμα LC του σχήματος εκτελεί αμείωτες ηλεκτρικές ταλαντώσεις, με περίοδο ΕΚΦΩΝΗΣΕΙΣ ΑΣΚΗΣΕΩΝ Άσκηση 1. Ιδανικό κύκλωμα LC εκτελεί αμείωτες ηλεκτρικές ταλαντώσεις. Να αποδείξετε ότι η στιγμιαία τιμή i της έντασης του ρεύματος στο κύκλωμα δίνεται σε συνάρτηση με το στιγμιαίο

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ 24/01/2012 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΙΑΣ

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ 24/01/2012 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΘΕΜΑ 1 ο (1.5 μονάδες) (α) Να προσδιορίσετε την διακριτική ικανότητα (resolution) ενός ψηφιακού βτομέτρου με ενδείκτη (display) τριών ψηφίων και μέγιστη ένδειξη 99.9 olts. (0.5 μ.) (β) Στα ακόλουθα σχήματα

Διαβάστε περισσότερα

ΔΙΑΓΩΝΙΣΜΑ ΣΤΗΝ ΑΠΛΗ ΑΡΜΟΝΙΚΗ ΤΑΛΑΝΤΩΣΗ

ΔΙΑΓΩΝΙΣΜΑ ΣΤΗΝ ΑΠΛΗ ΑΡΜΟΝΙΚΗ ΤΑΛΑΝΤΩΣΗ ΔΙΑΓΩΝΙΣΜΑ ΣΤΗΝ ΑΠΛΗ ΑΡΜΟΝΙΚΗ ΤΑΛΑΝΤΩΣΗ ΘΕΜΑ 1 Α. Ερωτήσεις πολλαπλής επιλογής 1. Σώμα εκτελεί Α.Α.Τ με περίοδο Τ και πλάτος Α. Αν διπλασιάσουμε το πλάτος της ταλάντωσης τότε η περίοδος της θα : α. παραμείνει

Διαβάστε περισσότερα

ΘΕΜΑ : ΒΑΣΙΚΕΣ ΣΥΝΔΕΣΜΟΛΟΓΙΕΣ ΤΕΛΕΣΤΙΚΟΥ ΕΝΙΣΧΥΤΗ. ΔΙΑΡΚΕΙΑ: 1περίοδος

ΘΕΜΑ : ΒΑΣΙΚΕΣ ΣΥΝΔΕΣΜΟΛΟΓΙΕΣ ΤΕΛΕΣΤΙΚΟΥ ΕΝΙΣΧΥΤΗ. ΔΙΑΡΚΕΙΑ: 1περίοδος ΘΕΜΑ : ΒΑΣΙΚΕΣ ΣΥΝΔΕΣΜΟΛΟΓΙΕΣ ΤΕΛΕΣΤΙΚΟΥ ΕΝΙΣΧΥΤΗ ΔΙΑΡΚΕΙΑ: 1περίοδος Ο τελεστικός ενισχυτής μπορεί να συνδεθεί σε διάφορες συνδεσμολογίες δημιουργώντας πολύ χρήσιμα κυκλώματα. τόσο στα αναλογικά κυκλώματα

Διαβάστε περισσότερα

Κεφάλαιο 3. Λογικές Πύλες

Κεφάλαιο 3. Λογικές Πύλες Κεφάλαιο 3 Λογικές Πύλες 3.1 Βασικές λογικές πύλες Τα ηλεκτρονικά κυκλώματα που εκτελούν τις βασικές πράξεις της Άλγεβρας Boole καλούνται λογικές πύλες.κάθε τέτοια πύλη δέχεται στην είσοδό της σήματα με

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/ https://eclass.teiath.gr/courses/tio101/

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος...13

Περιεχόμενα. Πρόλογος...13 Περιεχόμενα Πρόλογος...3 Κεφάλαιο : Στοιχεία ηλεκτρικών κυκλωμάτων...5. Βασικά ηλεκτρικά μεγέθη...5.. Ηλεκτρικό φορτίο...5.. Ηλεκτρικό ρεύμα...5..3 Τάση...6..4 Ενέργεια...6..5 Ισχύς...6..6 Σύνοψη...7.

Διαβάστε περισσότερα

ΔΙΔΑΣΚΩΝ: Λ. ΜΠΙΣΔΟΥΝΗΣ ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 28/01/2015

ΔΙΔΑΣΚΩΝ: Λ. ΜΠΙΣΔΟΥΝΗΣ ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 28/01/2015 ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 8//5 ΘΕΜΑ ο (.5 μονάδες) Η έξοδος του αισθητήρα του παρακάτω σχήματος είναι γραμμικό σήμα τάσης, το οποίο εφαρμόζεται για χρονικό διάστημα

Διαβάστε περισσότερα

2 η ενότητα ΤΑ ΤΡΑΝΖΙΣΤΟΡ ΣΤΙΣ ΥΨΗΛΕΣ ΣΥΧΝΟΤΗΤΕΣ

2 η ενότητα ΤΑ ΤΡΑΝΖΙΣΤΟΡ ΣΤΙΣ ΥΨΗΛΕΣ ΣΥΧΝΟΤΗΤΕΣ ρ. Λάμπρος Μπισδούνης Καθηγητής 2 η ενότητα ΤΑ ΤΡΑΝΖΙΣΤΟΡ ΣΤΙΣ ΥΨΗΛΕΣ ΣΥΧΝΟΤΗΤΕΣ T.E.I. ΥΤΙΚΗΣ ΕΛΛΑ ΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. 1 Περιεχόμενα 2 ης ενότητας Στην δεύτερη ενότητα θα ασχοληθούμε

Διαβάστε περισσότερα

Σημειώσεις κεφαλαίου 16 Αρχές επικοινωνίας με ήχο και εικόνα

Σημειώσεις κεφαλαίου 16 Αρχές επικοινωνίας με ήχο και εικόνα Σημειώσεις κεφαλαίου 16 Αρχές επικοινωνίας με ήχο και εικόνα ΠΩΣ ΛΕΙΤΟΥΡΓΟΥΝ ΟΙ ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ Ένα σύστημα ηλεκτρονικής επικοινωνίας αποτελείται από τον πομπό, το δίαυλο (κανάλι) μετάδοσης και

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ Εργαστήριο Τεχνολογίας Υλικού & Αρχιτεκτονικής Υπολογιστών ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ 4.1 MOS Τρανζίστορ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ΙV ΤΟ MOS ΤΡΑΝΖΙΣΤΟΡ 4.1.1 Εισαγωγή: Αντικείµενο της εργαστηριακής

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ. Εργαστήριο 8 ο. Αποδιαμόρφωση PAM-PPM με προσαρμοσμένα φίλτρα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ. Εργαστήριο 8 ο. Αποδιαμόρφωση PAM-PPM με προσαρμοσμένα φίλτρα Τμήμα Πληροφορικής και Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΨΗΦΙΑΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ Εργαστήριο 8 ο Αποδιαμόρφωση PAM-PPM με προσαρμοσμένα φίλτρα Βασική Θεωρία Σε ένα σύστημα μετάδοσης

Διαβάστε περισσότερα