HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων.

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων."

Transcript

1 HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. ωτηρίου, Βοηθόσ: (θα ανακοινωθεί) 1 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 2 1

2 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 3 Συπική Ροή χεδίαςησ (Design Flow) Requirements Verilog, VHDL Simulate Synthesis Gate-level Model Simulate Test Bench ASIC or FPGA Place & Route Timing Model Simulate 4 2

3 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 5 Ιεραρχία ςτην χεδίαςη Top-Down (Επάνω προσ Κάτω) ι Bottom-Up (Κάτω προσ επάνω) Πρακτικά γίνεται μίξθ των δυο Σελικό ςφςτθμα αποτελείται από τα Σερματικά Σμιματα ι φφλλα (Leaf blocks) που λειτουργοφν όλα παράλλθλα. Κφκλωμα Μονάδα 1 Μονάδα 2 Μονάδα 3 Μονάδα 4 Τπο-μονάδα 1 Τπο-μονάδα 2 Τπο-μονάδα 1 Τπο-μονάδα 1 Τπο-μονάδα 2 Τερμαηικό Τμήμα Τπο-υπο μονάδα 1 Τπο-υπομονάδα 1 Τπουπομονάδα 2 6 3

4 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 7 Η Γλώςςα Verilog Γλϊςςα Περιγραφισ Τλικοφ (HDL) Γλϊςςα προγραμματιςμοφ με υποδομζσ για υλοποίθςθ υλικοφ Ζννοια του χρόνου, ζννοια του ςιματοσ Δυνατότθτεσ 1. να αναπαριςτά (ςε διάφορα επίπεδα) και 2. να προςομοιϊνει ψθφιακά κυκλϊματα. 3. ζνα υποςφνολο τθσ είναι ςυνκζςιμο (HDL κυκλωματικι δομι) Τποςτθρίηει Παράλλθλθ εκτζλεςθ τμθμάτων υλικοφ και παράλλθλεσ διαδικαςίεσ θμαςιολογία (semantics) για χρόνο και τιμζσ ςθμάτων Παραδείγματα ςχεδίαςθσ με Verilog HDL Intel Pentium, AMD K5, K6, Athlon, ARM7, etc Thousands of ASIC designs using Verilog HDL Άλλεσ HDL : VHDL, SystemC, SystemVerilog 8 4

5 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 9 Επίπεδα Αφαίρεςησ SYSTEM Matlab, SystemC, SystemVerilog + MODULE GATE Verilog, VHDL CIRCUIT S n+ DEVICE G D n+ VLSI 10 5

6 Επίπεδα Αφαίρεςησ φςτθμα - Εφαρμογι Αλγόρικμοι Αρχιτεκτονικι Γλϊςςα Περιγραφισ Τλικοφ (HDL) Πφλεσ Σρανηίςτορσ 11 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 12 6

7 Αναπαράςταςη και Τλοποίηςη ςε Verilog H Verilog μπορεί να χρθςιμοποιθκεί ςε διάφορα ςτάδια για τθν υλοποίθςθ ενόσ ςυςτιματοσ από ιδζα ςε κφκλωμα Δυνατότθτεσ: Οριςμόσ Απαιτιςεων (Requirements Specification) Ζγγραφθ Σεκμθρίωςθ (Documentation) Ζλεγχοσ μζςω Προςομοίωςθσ (Simulation) Λειτουργικόσ Ζλεγχοσ (Functional Test) υνκεςιμότθτα ςε χθματικό, δθλ. ςφνολο από πφλεσ τόχοι Αξιόπιςτθ διεργαςία ςχεδίαςθσ με χαμθλζσ απαιτιςεισ κόςτουσ και χρόνου Αποφυγι και πρόλθψθ λακϊν ςχεδίαςθσ 13 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 14 7

8 Μονάδα NAND Οριςμόσ dataflow (ροήσ) module nand(a, b, f); a b t g 1 NAND g 2 f input a, b; output f; 15 Μονάδα NAND Οριςμόσ dataflow (ροήσ) module nand(a, b, f); a b t g 1 g 2 f input a, b; output f; 16 8

9 Μονάδα NAND Οριςμόσ dataflow (ροήσ) module nand(a, b, f); a b t g 1 g 2 f input a, b; output f; wire t; assign t = a & b; assign f = ~t; 17 Πολυπλέκτησ Οριςμόσ dataflow a b f module mux(a, b, sel, f); input a, b, sel; output f; sel a b sel f x y 0 x x y 1 y 18 9

10 Πολυπλέκτησ Οριςμόσ dataflow a b f module sel(a, b, sel, f); input a, b, sel; output f; assign f = sel? b : a; sel a b sel f x y 0 x x y 1 y 19 Πολυπλέκτησ Οριςμόσ ςυμπεριφοράσ a b sel f module sel(a, b, sel, f); input a, b, sel; output f; reg f; or a or b) a b sel f x y 0 x x y 1 y 20 10

11 Πολυπλέκτησ Οριςμόσ ςυμπεριφοράσ module sel(a, b, sel, f); input a, b, sel; a f b output f; reg f; or a or b) sel if (sel==0) a b sel f f <= a; else x y 0 x f <= b; x y 1 y 21 Μανταλωτήσ D (Latch) οριςμόσ ςυμπεριφοράσ module latch(d, g, q); input d, g; output q; reg q; D G Q x 0 Q G

12 Μανταλωτήσ D (Latch) οριςμόσ ςυμπεριφοράσ module latch(d, g, q); input d, g; output q; reg q; D G Q x 0 Q or d) if (g) q = d; Μανταλωτήσ D (Latch) με CLR οριςμόσ ςυμπεριφοράσ module latch(d, g, clr, q); input d, g, clr; output q; reg q; D G CLR Q x x

13 Μανταλωτήσ D (Latch) με CLR οριςμόσ ςυμπεριφοράσ module latch(d, g, clr, q); input d, g, clr; output q; reg q; D G CLR Q x x or d or clr) if (clr) q = 1'b0; else if (g) q = d; 25 Καταχωρητήσ D (FF) οριςμόσ ςυμπεριφοράσ module dff(d, clk, q); input d, clk; output q; reg q; C CLK Q

14 Καταχωρητήσ D (FF) οριςμόσ ςυμπεριφοράσ module dff(d, clk, q); input d, clk; output q; reg q; D CLK Q clk) q <= d; 27 Καταχωρητήσ D (FF) με SET οριςμόσ ςυμπεριφοράσ module dff(d, clk, s, q); input d, clk, s; output q; d clk S q x

15 Καταχωρητήσ D (FF) με SET οριςμόσ ςυμπεριφοράσ module dff(d, clk, s, q); input d, clk, s; output q; reg q; d clk S q x clk) if (s) q <= 1'b1; else q <= d; 29 Μετρητήσ Οριςμόσ υμπεριφοράσ module counter (CLK, CLR, Q); input CLK, CLR; output [3:0] Q; reg [3:0] tmp; CLR CLK Q X Q

16 Μετρητήσ Οριςμόσ υμπεριφοράσ module counter (CLK, CLR, Q); input CLK, CLR; output [3:0] Q; reg [3:0] tmp; CLR CLK Q X Q+1 CLK or posedge CLR) if (CLR) tmp <= 4'b0000; else tmp <= tmp + 1'b1; assign Q = tmp; 31 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 32 16

17 Βαςική Οντότητα Μονάδα - Module module MOD1(a, b, f); a b MOD1 f input a, b; output f; include mod2.v 33 Θύρεσ Είςοδοι, Έξοδοι μιασ Μονάδασ arith1 module arith1 (bi_out, out, in1, in2, in3); in1 in2 bi_out inout bi_out; output out; input in1, in2; input in3; in3 out 34 17

18 Μονάδεσ και Εμφανίςεισ (Instances) Η διαδικαςία που επικαλοφμαςτε μια μονάδα και τθν τοποκετοφμε ςτο κφκλωμα ονομάηεται εμφάνιςθ (instantiation) module nand(out, a, b,); input a, b; output out; wire out = ~ (a & b); 35 υντακτική Δομή μιασ Μονάδασ δθλϊςεισ module test(a, b); τμιματα always 1 ι περιςςότερα τμιμα initial 1 ι κανζνα modules/primitives instantiations input a;output b; reg b; wire c; a) b = #2 a; a) b = #2 ~c; not N1 (c, a); initial b = 0; 36 18

19 Χρόνοσ ςτην Verilog - 1 Μονάδεσ χρόνου ςτθν προςομοίωςθ `timescale <time_unit base>/<precision base> time_unit base μονάδα μζτρθςθσ χρόνου: αρικμόσ 1, 10 ι 100 με φυςικζσ μονάδεσ time_precision βιματα δεκαδικισ ακρίβειασ τθσ μονάδασ κατά τθν προςομοίωςθ φυςικζσ μονάδεσ χρόνου: s, ms, us, ns, ps, fs Παράδειγμα: timescale 1 ns / 10 ps οι μονάδεσ κα είναι ςε 1 ns με ακρίβεια 10 ps, 2 δεκαδικά Αδρανειακι Κακυςτζρθςθ #(delay) : αναμονι για χρόνο (delay) μονάδεσ Παράδειγμα: #5 a=8 h1a; 37 Χρόνοσ ςτην Verilog - 2 Χρονικι Αναμονι σήμα or <edge> σήμα or ) edge posedge (κετικι ακμι) ι negedge (αρνθτικι ακμι) or Αναμονι ςε πολλαπλά εναλλακτικά ςιματα Παραδείγματα: clk) clk) or b or c) clk or posedge reset) 38 19

20 Θεμελιώδη τοιχεία Η Verilog ορίηει κεμελιϊδεισ μονάδεσ-ςτοιχεία που μποροφν να χρθςιμοποιθκοφν ωσ εμφανίςεισ gate_type #(delay) instance_name [instance_array_range] (terminal, terminal, ) and or xor Σφποσ Πφλθσ nand nor xnor ειρά υνδζςεων 1 ζξοδοσ, 1 ι περιςςότερεσ είςοδοι buf Not 1 ζξοδοσ, 1 είςοδοσ Παραδείγματα: and i1 (out,in1,in2); and #2 U100 (out, a, b); and #5 (o,i1,i2,i3,i4); not ib [31:0] (y, a); 39 Σύποι Μοντελοποίηςησ Δομικι (Structural) Ροι Δεδομζνων (Dataflow) υμπεριφοράσ ι Διαδικαςτικι (Behavioural, Procedural) 40 module_name instance_name [instance_array_range] (signal, signal,... ); module_name instance_name [instance_array_range] (.port_name(signal), (.port_name(signal),...); counter counter_1( clk, enable, count_out); dff u2 (.clk(clock),.q(q[1]),.data(d[1])); gate_type #(delay) instance_name [instance_array_range] (terminal, terminal, ); wire = (a & b) (c & d); initial (sensitivity list) -- or b or ci) sum = a + b + ci; initial bus = 16'h0000; #10 bus = 16'hC5A5; #20 bus = 16'hFFAA; 20

21 υμβάςεισ τησ γλώςςασ Verilog Η Verilog είναι case sensitive. Οι λζξεισ κλειδιά πρζπει να είναι με μικρά γράμματα χόλια Για μία γραμμι: // Για πολλζσ: /* */ Σφποι Δεδομζνων Σιμι Ερμθνεία 0 Λογικό 0, άρνθςθ 1 Λογικό 1, κατάφαςθ X Άγνωςτο ι Μθ αρχικοποιθμζνο Z Τψθλισ εμπζδθςθσ αςφνδετο ι τρικατάςτατο 41 Αναπαράςταςη Ακέραιων Αριθμών <size> <base><number> <size> δείχνει τον αρικμό από bits <base> είναι θ βάςθ Βάςθ φμβολο Επιτρεπτζσ Σιμζσ Δυο b ι B 0, 1, x, X, z, Z,?, _ Οκτϊ o ι O 0-7, x, X, z, Z,?, _ Δζκα d ι D 0-9, x, X, z, Z,?, _ Δεκαζξι h ι H 0-9, a-f, A-F, x, X, z, Z,?, _ Αν παραλειφκεί ο αρικμόσ bits το μζγεκοσ εξαρτάται (32-bits) Σο _ χρθςιμοποιείται για ευκολότερθ ανάγνωςθ b h3a 6 b h13x 8 b10_10_

22 Σελεςτέσ -1 Αρικμθτικοί Σελεςτισ Χριςθ Περιγραφι + m + n Πρόςκεςε m και n - m n Αφαίρεςε n από m - -m υμπλιρωμα/άρνθςθ του m (2 s complement) * m * n Πολλαπλαςίαςε m και n / m / n Διαίρεςθ m με n % m % n Τπόλοιπο Διαίρεςθσ m με n Επιπζδου bit ~ ~m Αντζςτρεψε κάκε ψθφίο του m & m & n AND κάκε ψθφίου των m και n m n OR κάκε ψθφίου των m και n ^ m ^ n XOR κάκε ψθφίου των m και n ~^ ^~ m ~^ n m ^~ n XNOR κάκε ψθφίου των m και n 43 Σελεςτέσ - 2 Ελάττωςθσ Σελεςτισ Χριςθ Περιγραφι & &m AND όλων των ψθφίων του m (1-bit αποτζλεςμα) ~& ~&m NAND όλων των ψθφίων του m (1-bit αποτζλεςμα) m OR όλων των ψθφίων του m (1-bit αποτζλεςμα) ~Ι ~ m NOR όλων των ψθφίων του m (1-bit αποτζλεςμα) ^ ^m XOR όλων των ψθφίων του m (1-bit αποτζλεςμα) ~^ ^~ ~^m ^~m XNOR όλων των ψθφίων του m (1-bit αποτζλεςμα) Λογικοί!!m Είναι το m ψευδζσ; (1-bit αποτζλεςμα) && m && n Είναι το m και το n αλθκι; (1-bit αποτζλεςμα) m n Είναι το m ι το n αλθκζσ; (1-bit αποτζλεςμα) 44 22

23 Σελεςτέσ - 3 Ιςότθτασ, Ανίςωςθσ Σελεςτισ Χριςθ Περιγραφι == m == n Είναι το m ίςο με το n; (1-bit αποτζλεςμα)!= m!= n Είναι το m διάφορο του n; (1-bit αποτζλεςμα) > >= < <= m > n m >= n m < n m <= n Είναι το m μεγαλφτερο του n; Είναι το m μεγαλφτερο ι ίςο του n; (1-bit αποτζλεςμα) Είναι το m μικρότερο του n; Είναι το m μικρότερο ι ίςο του n; (1-bit αποτζλεςμα) Μετατόπιςθσ << m << n Μετατόπιςε το m αριςτερά n φορζσ >> m >> n Μετατόπιςε το m δεξιά n φορζσ 45 Σελεςτέσ - 4 Διάφοροι Σελεςτισ Χριςθ Περιγραφι? : sel? m : n Αν το sel είναι αλθκζσ επζςτρεψε m αλλιϊσ n {} {m, n} Ζνωςε τα διανφςματα m και n επιςτρζφοντασ τθν ςυνζνωςθ τουσ {{ }} {n{m}} Επανάλαβε το διάνυςμα m ν φορζσ 46 23

24 Σύποι μεταβλητών ςτην Verilog Σφποσ Ιδιότθτεσ Παράδειγματα wire reg parameter integer 47 Μοντελοποιεί μια ςφνδεςθ, «καλϊδιο», θ οποία δομικά διαςφνδεει δυο ςιματα Αποκθκεφει τιμι ανάκεςθσ από διαδικαςία, κρατϊντασ τθν για κφκλο «δζλτα» ι μζχρι τθν επόμενθ ανάκεςθ. Δεν ςυνεπάγεται απαραιτιτωσ ςφνκεςθ ςε καταχωρθτι. τακερά. Πρζπει να είναι ακζραια τιμι για ςφνκεςθ. Ακζραια μεταβλθτι για χριςθ ςε βρόχουσ. Δεν ζχουν απεικόνιςθ ςτο υλικό και κρατάνε απλά αρικμθτικζσ τιμζσ. wire Net1; wire [2:0] fout; assign Net1 = 1 b1; reg [3:0] Y1, Y2; parameter A=4 b1011, B=4 b1000; parameter Stop=0, Slow=1, Medium=2, Fast=3; integer N; Μεταβλητέσ wire Περιγράφουν μόνο ςυνδυαςτικι λογικι δεν ζχουν μνιμθ δεν υλοποιοφν ςτοιχεία μνιμθσ Η αξιολόγθςθ τουσ και θ ςθμαςιολογία τουσ αντιςτοιχοφν ςε παράλλθλεσ οντότθτεσ wire sum = a ^ b; wire c = sum b; wire a = ~d; wire sum;... assign sum = a ^ b; wire muxout = (sel == 1)? a : b; wire op = ~(a & ((b)? ~c : d) ^ (~e)); 48 24

25 Μεταβλητέσ wire Παράδειγμα μονάδασ πρόςκεςθσ 1-bit module adder(a, b, sum, cout); input a, b; output sum, cout; wire sum = a ^ b; wire cout = a & b; 49 Μεταβλητέσ reg, διεργαςίεσ και ακολουθιακή λογική μεταβλθτζσ με μνιμθ διατθροφν τθν κατάςταςθ τουσ μζχρι τθν επόμενθ ανάκεςθ μεταβλθτζσ διαδικαςιϊν always, initial δεν ςυνεπάγονται καταχωρθτι ςε επίπεδο υλικοφ reg a; initial a = 0; #5; a = 1; reg q; clk) q = #2 (load)? d : q; 50 25

26 Μεταβλητέσ reg, διεργαςίεσ και ακολουθιακή λογική in1 in2 out in3 reg out; Ιζοδύναμοι οριζμοί or in2 or in3) out = in1 (in2 & in3); wire out = in1 (in2 & in3); 51 Αναθέςεισ ςε Διαδικαςίεσ Ανάκεςθ Register_data_type = expression; Register_data_type <= expression; Παράδειγμα n = m; m = n; θμαςιολογία Κλειδωμζνθ (blocking) ανάκεςθ θ ζκφραςθ αξιολογείται και γίνεται θ ανάκεςθ πριν τθν εκτζλεςθ επόμενθσ γραμμισ Μθ κλειδωμζνθ (non-blocking) ανάκεςθ θ ζκφραςθ αξιολογείται, αλλά θ ανάκεςθ κα γίνει ςτο τζλοσ του τρζχοντοσ βιματοσ χρόνου και θ εκτζλεςθ ςυνεχίηει n <= m; m <= n; Σο n και το m παίρνουν τθν τιμι του m Σο n και m ανταλλάςουν τιμζσ 52 26

27 Αναθέςεισ ςε Διαδικαςίεσ clk) a = b; c = a; // c παίρνει ηιμή ηοσ b // b a c clk) a <= b; c <= a; // c παίρνει παλιά ηιμή ηοσ a // b a c 53 Αναθέςεισ ςε Διαδικαςίεσ clk) z = y; y = x; x y z clk) y = x; z = y; x y z 54 27

28 Αναθέςεισ ςε Διαδικαςίεσ Με κακυςτζρθςθ a = #10 b; c = = =10 : a = b; // = 10 : c = a; // 10 // #10 a = b; c = = =10 : a = b; // = 10 : c = a; // 10 // a <= #10 b; c <= = =10 : a = b; // 0 = 0 : c = a; // 0 // 55 Θύρεσ Μονάδων net inout net, reg input net output net, reg net Οι είςοδοι και οι είςοδοι-ζξοδοι ζχουν τφπο wire μζςα ςτθν μονάδα Οι ζξοδοι μπορεί να ζχουν είτε τφπο wire (ςυνδυαςτικι εξίςωςθ) είτε reg από always τμιμα 56 28

29 υνδέςεισ Μονάδων και Εμφανίςεων Κατά κζςθ ιματοσ module adder(sum, in1, in2);... ; Κατά όνομα ιματοσ module adder(sum, in1, in2);... ; adder adder_inst (a, b, c); // sum = a, // in1 = b, // in2 = c. adder adder_inst(.sum(c),.in1(a),.in2(b)); // sum = c, // in1 = a, // in2 = b. 57 Δίαυλοι - Busses in1[1:0] in1[1] in1[0] in2[1:0] in2[1] in2[0] arith out[0] out[1:0] out[1] module arith (out, in1, in2); output [1:0] out; input [1:0] in1, in2; 58 29

30 Δίαυλοι - Busses υμβάςεισ [MSB:LSB] Προςοχι ςτο πλάτοσ module adder (a, b, sum, cout); input [7:0] a, b; output [7:0] sum; output cout; wire [8:0] temp = a + b; wire [7:0] sum = temp[7:0]; wire cout = temp[8]; ; 59 υνθήκη if/else το if/else επιτρζπεται μόνο ςε always αν θ ςυνκικθ περικλείει πολλαπλζσ εντολζσ χρθςιμοποιείται / επιτρζπονται πολλαπλά else if if μζςα ςε if 60 module mux (a, b, sel, out); input [4:0] a, b; input sel; output [4:0] out; reg [4:0] out; or b or sel) if (!sel) else out = a; out = b; 30

31 υνθήκη case το case επίςθσ επιτρζπεται μόνο ςε always μόνο για ςτακερζσ εκφράςεισ δεν υπάρχει break module mux (a, b, c, d, sel, out); input [4:0] a, b, c, d; input [1:0] sel; output [4:0] out; reg [4:0] out; or b or c or d or sel) case (sel) 2'b00: out = a; 2'b01: out = b; 2'b10: out = c; 2'b11: out = d; default: out = 5'bx; case 61 υνθεςιμότητα υνθέςιμεσ και μη εκφράςεισ Εκφράςεισ Verilog που είναι ςυνκζςιμεσ παράγουν ςχθματικό (δίκτυο πυλϊν) για ASIC ι FPGA λ.χ.: wire [7:0] sum = temp[7:0] & {8{a}}; wire cout = temp[8] Μθ ςυνκζςιμοσ κϊδικασ χρθςιμοποιείται για τθν διαδικαςία προςομοίωςθσ Δεν αποτελεί μζροσ του ςχεδίου, αλλά τθσ δοκιμισ/ελζγχου του λ.χ.: initial a = 0; b = 0; #5 a = 1; b = 1; 62 31

32 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 63 Χρόνοσ Καθυςτέρηςη ςτην Verilog Λειτουργικόσ Ζλεγχοσ (Functional Test) Προςεγγιςτικι, υψθλοφ επιπζδου κακυςτζρθςθ clk) q <= #2 d; // FF με 2 μονάδες καθσζηέρηζη // τον λειτουργικό ζλεγχο θ ςυνδυαςτικι κακυςτζρθςθ κεωρείται αμελθτζα Ζλεγχοσ ανά κφκλο (cycle-based, cycle-accurate) wire a = (b & c) d; // μόνο λειηοσργία, ότι καθσζηέρηζη // τθν δοκιμι οι κακυςτεριςεισ χρθςιμοποιοφνται για να φτιαχτεί το εξωτερικό ερζκιςμα του κυκλϊματοσ Διανφςματα ειςόδου και ο χρονιςμόσ τουσ τατικι Χρονικι Ανάλυςθ (Static Timing Analysis) Μετά τθν ςφνκεςθ γίνεται ζλεγχοσ του κφκλου 64 32

33 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ 65 Λειτουργικόσ Έλεγχοσ Ελζγχουμε τθν κάκε μονάδα χωριςτά Προδιαγραφζσ Χρονιςμόσ (ανά κφκλο) Σιμζσ ςθμάτων Λειτουργίασ υνδζουμε τισ επιμζρουσ μονάδεσ ςτο ςυνολικό ςχζδιο Ελζγχουμε τθν ςυνολικι λειτουργία 66 33

34 Λειτουργικόσ Έλεγχοσ Για κάκε μονάδα υλοποιοφμε ζνα πλαίςιο ελζγχου testbench Εμφανίηει τθν υπό ζλεγχο μονάδα Εφαρμόηει διανφςματα ειςόδων ςτον χρόνο Ελζγχει τα διανφςματα εξόδων ςτον χρόνο Μεκοδολογίεσ ελζγχου 1. Μθ-αυτόματα Ο μθχανικόσ ελζγχει ότι τα ςιματα είναι ςωςτά 2. Αυτόματα Οι τιμζσ ελζγχονται αυτόματα ζναντι των αναμενόμενων 67 Παράδειγμα testbench Testbench module half_adder_testbench; reg a, b; wire sum, cout; Μονάδα half_adder half_adder_instance (a, b, sum, cout); initial a = 0; b = 0; #5 $display( a: %x, b: %x, sum: %x, cout: %x, a, b, sum, cout); a = 1; #5 $display( a: %x, b: %x, sum: %x, cout: %x, a, b, sum, cout); B = 1; #5 $display( a: %x, b: %x, sum: %x, cout: %x, a, b, sum, cout); a = 0; #5 $display( a: %x, b: %x, sum: %x, cout: %x, a, b, sum, cout); ; module half_adder(a, b, sum, cout); wire sum = a ^ b; wire cout = a & b; ; 68 34

35 Προςομοιωτήσ Παράκυρο κειμζνου 69 Προςομοιωτήσ Παράκυρο κυμματομορφϊν 70 35

36 Παράδειγμα Μετρητήσ 8-bit χζδιο Μετρθτι module counter(clk, reset, count); input clk, reset; output [7:0] count; Reg [7:0] count; Μονάδα Ελζγχου module counter_testbench; reg clk, reset; wire [7:0] count; counter counter_instance (clk, reset, count); always clk) if (reset) count = #2 8 b0; else count = #2 count + 1; 71 initial clk = 0; $monitor("time %d ns: count=%d", $time, count); #100 reset = 1; #150 reset = 0; always #20 clk = ~clk; Παράδειγμα Μετρητήσ 8-bit time 0 ns: count= x time 102 ns: count= 0 time 262 ns: count= 1 time 302 ns: count= 2 time 342 ns: count= 3 time 382 ns: count= 4 time 422 ns: count= 5 time 462 ns: count=

37 Περιεχόμενα Συπικι Ροι χεδίαςθσ Ιεραρχία ςτθν χεδίαςθ Η Γλϊςςα Verilog Επίπεδα Αφαίρεςθσ ςτθν χεδίαςθ Αναπαράςταςθ και Τλοποίθςθ ςε Verilog Ειςαγωγι ςτθν Verilog μζςω παραδειγμάτων Αναλυτικι Επιςκόπθςθ τθσ Verilog Χρόνοσ-Κακυςτζρθςθ ςτθν Verilog Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ μια άςκθςθ 73 Πολυπλέκτησ Οριςμόσ πυλών module sel(a, b, sel, f); input a, b, sel; output f; a b sel f x y 0 x x y 1 y 74 37

38 Πολυπλέκτησ Οριςμόσ πυλών module sel(a, b, sel, f); input a, b, sel; output f; wire nsel, f1, f2; a b sel f x y 0 x assign nsel = ~sel; assign f1 = a & nsel; assign f2 = b & sel; assign f = f1 f2; x y 1 y 75 38

ΗΥ-225. Verilog HDL. Τα βασικά...

ΗΥ-225. Verilog HDL. Τα βασικά... ΗΥ-225 Verilog HDL. Τα βασικά... Βασική Ροή Σχεδίασης Requirements RTL Model Simulate Synthesize Gate-level Model Simulate Test Bench ASIC or FPGA Place & Route Timing Model Simulate ΗΥ-225 Ιάκωβος Μαυροειδής

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2007-2008 Verilog: Τα βασικά ΗΥ220 - Βασίλης Παπαευσταθίου 1 Η εξέλιξη στη σχεδίαση ψηφιακών κυκλωµάτων Μεγάλη εξέλιξη τα τελευταία 30 χρόνια Στις

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Verilog: Τα βασικά ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Η εξέλιξη στη σχεδίαση ψηφιακών κυκλωμάτων Μεγάλη εξέλιξη τα τελευταία

Διαβάστε περισσότερα

HY523 Εργαςτηριακό Σχεδύαςη Ψηφιακών Κυκλωμϊτων με εργαλεύα Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού.

HY523 Εργαςτηριακό Σχεδύαςη Ψηφιακών Κυκλωμϊτων με εργαλεύα Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού. HY523 Εργαςτηριακό Σχεδύαςη Ψηφιακών Κυκλωμϊτων με εργαλεύα Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού Διδϊςκων: Χ. Σωτηρύου http://www.csd.uoc.gr/~hy523 1 Περιεχόμενα Λειτουργικόσ Ζλεγχοσ και Προςομείωςθ

Διαβάστε περισσότερα

HY225 Οργάνωςη Τπολογιςτών

HY225 Οργάνωςη Τπολογιςτών HY225 Οργάνωςη Τπολογιςτών Διδάςκοντεσ: Δ. Νικολόπουλοσ, Χ. ωτηρίου. http://www.csd.uoc.gr/~hy225 1 Περιεχόμενα Τυπικι οι Σχεδίαςθσ Ιεραρχία ςτθν Σχεδίαςθ Μθχανζσ Ρεπεραςμζνων Καταςτάςεων Η Γλϊςςα Τι είναι

Διαβάστε περισσότερα

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων. Πολυπλέκτες Καμπύλη Παρέτο. Κωδικοποιητές/Από-κωδικοποιητές D FF

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων.   Πολυπλέκτες Καμπύλη Παρέτο. Κωδικοποιητές/Από-κωδικοποιητές D FF HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων Διδάσκων: Χ. Σωτηρίου, Βοηθός: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ Περιεχόμενα Περιγραφές και Συνθέσιμες Δομές Πολυπλέκτες Καμπύλη Παρέτο Κωδικοποιητές/Από-κωδικοποιητές

Διαβάστε περισσότερα

Εισαγωγή στη Verilog

Εισαγωγή στη Verilog ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Εισαγωγή στη Verilog Χειμερινό Εξάμηνο 2009 2010 Hardware vs Software Γιατί να σχεδιάζουμε σε Hardware? Γρήγορη εκτέλεση (~10x) Χαμηλή κατανάλωση ισχύος (~10x) αλλά

Διαβάστε περισσότερα

ΗΥ225 Οργάνωση Υπολογιστών. Εισαγωγή στη Verilog

ΗΥ225 Οργάνωση Υπολογιστών. Εισαγωγή στη Verilog ΗΥ225 Οργάνωση Υπολογιστών Εισαγωγή στη Verilog Processors are everywhere ARM based products CS225: How to build your own processor University of Crete ΗΥ225 2 Intel 8086 Processor 1978 29.000 transistors

Διαβάστε περισσότερα

HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Πολυπλζκτεσ Καμπφλθ Παρζτο. Κωδικοποιθτζσ/Από-κωδικοποιθτζσ D FF

HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων.  Πολυπλζκτεσ Καμπφλθ Παρζτο. Κωδικοποιθτζσ/Από-κωδικοποιθτζσ D FF HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. Σωτηρίου, Βοηθόσ: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ Περιεχόμενα Περιγραφζσ και υνκζςιμεσ Δομζσ Πολυπλζκτεσ Καμπφλθ Παρζτο Κωδικοποιθτζσ/Από-κωδικοποιθτζσ

Διαβάστε περισσότερα

ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Γλώσσα περιγραφής υλικού: Verilog

ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Γλώσσα περιγραφής υλικού: Verilog ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Γλώσσα περιγραφής υλικού: Verilog Αρης Ευθυμίου Το σημερινό μάθημα! Η γλώσσα περιγραφής υλικού Verilog Περίληψη των αντίστοιχων μαθημάτων Ψηφιακής σχεδίασης έμφαση σε

Διαβάστε περισσότερα

HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων.

HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων. HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. ωτηρίου, Βοηθόσ: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ 1 Πυλϊν 2 1 Πυλϊν 3 Δομή τησ Γλώςςασ Μοιάηει αρκετά με τθν C Προ-επεξεργαςτι

Διαβάστε περισσότερα

8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων

8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων 8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων Εισαγωγή Η λογική που περιγράφεται σε ένα module µπορεί να περιγραφεί µε διάφορα στυλ Μοντελοποίηση σε επίπεδο

Διαβάστε περισσότερα

ΠΛΕ- 027 Μικροεπεξεργαστές 4ο μάθημα: γλώσσα περιγραφής υλικού Verilog

ΠΛΕ- 027 Μικροεπεξεργαστές 4ο μάθημα: γλώσσα περιγραφής υλικού Verilog ΠΛΕ- 027 Μικροεπεξεργαστές 4ο μάθημα: γλώσσα περιγραφής υλικού Verilog Αρης Ευθυμίου Τι είναι η γλώσσα Verilog Γλώσσα περιγραφής υλικού (hardware descripjon language) Επιτρέπει τη περιγραφή (μοντελοποίηση)

Διαβάστε περισσότερα

VERILOG. Γενικά περί γλώσσας

VERILOG. Γενικά περί γλώσσας VERILOG Γενικά περί γλώσσας Χρησιµότητα της Verilog Υψηλού επιπέδου περιγραφή της συµπεριφοράς του συστήµατος µε σκοπό την εξοµοίωση. RTL περιγραφή της λειτουργίας του συστήµατος µε σκοπό τη σύνθεσή του

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑΣΙΜΌ ΤΠΟΛΟΓΙΣΏΝ. Κεφάλαιο 8 Η γλϊςςα Pascal

ΠΡΟΓΡΑΜΜΑΣΙΜΌ ΤΠΟΛΟΓΙΣΏΝ. Κεφάλαιο 8 Η γλϊςςα Pascal ΠΡΟΓΡΑΜΜΑΣΙΜΌ ΤΠΟΛΟΓΙΣΏΝ Κεφάλαιο 8 Η γλϊςςα Pascal Παράγραφοσ 8.2 Βαςικοί τφποι δεδομζνων Σα δεδομζνα ενόσ προγράμματοσ μπορεί να: είναι αποκθκευμζνα εςωτερικά ςτθν μνιμθ είναι αποκθκευμζνα εξωτερικά

Διαβάστε περισσότερα

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 1 2 3 4 5 6 7 Παραπάνω φαίνεται θ χαρακτθριςτικι καμπφλθ μετάβαςθσ δυναμικοφ (voltage transfer characteristic) για ζναν αντιςτροφζα,

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Δρ. Χρήζηος Ηλιούδης Μθ Προςθμαςμζνοι Ακζραιοι Εφαρμογζσ (ςε οποιαδιποτε περίπτωςθ δεν χρειάηονται αρνθτικοί αρικμοί) Καταμζτρθςθ. Διευκυνςιοδότθςθ.

Διαβάστε περισσότερα

Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5

Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5 Διδάςκων Σςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

HY130 Ψηφιακή Σχεδίαση

HY130 Ψηφιακή Σχεδίαση HY130 Ψηφιακή Σχεδίαση Διδάσκων Εργαστηρίου: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce130/ 1 2 1 3 Μοιάζει αρκετά με την C Προ-επεξεργαστή (Preprocessor) Λέξεις Κλειδιά (Keywords) Τελεστές =

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Αρχιτεκτονική Υπολογιστών Αρχιτεκτονικό σύνολο εντολών Διδάσκων: Επίκουρος Καθηγητής Αριστείδης Ευθυμίου Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης

ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης 4-11-2009 Πρόοδος Θέμα 1 ο (25%): 1. Βρείτε την μεγίστη συχνότητα λειτουργίας του παρακάτω

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 VHDL, Χαρακτηριστικά και τρόποι περιγραφής Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Τμήμα Μηχανικών Πληροφορικής ΤΕ http://diceslab.cied.teiwest.gr

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν Τι είναι θ Γραμμι Εντολϊν (1/6) Στουσ πρϊτουσ υπολογιςτζσ, και κυρίωσ από τθ δεκαετία του 60 και μετά, θ αλλθλεπίδραςθ του χριςτθ με τουσ

Διαβάστε περισσότερα

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων.

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. Σωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ http://www.csd.uoc.gr/~hy220 1 ΗΥ220 - Διάλεξθ 7θ - Αρικμθτικά Κυκλϊματα Κυκλϊματα Πρόςκεςθσ Half-adder

Διαβάστε περισσότερα

HY422 Ειςαγωγή ςτα υςτήματα VLSI. 5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ

HY422 Ειςαγωγή ςτα υςτήματα VLSI.  5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ HY422 Ειςαγωγή ςτα υςτήματα VLSI Διδάςκων: Χ. ωτηρίου, Βοηθόσ: Π. Ματθαιάκησ http://www.csd.uoc.gr/~hy422 1 ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ Περιεχόμενα Γενικό Μοντζλο φγχρονου Κυκλώματοσ Είδθ Μονοπατιών

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Verilog: Στυλ Κώδικα και Synthesizable Verilog ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Τα στυλ του κώδικα Τρεις βασικές κατηγορίες

Διαβάστε περισσότερα

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Πίνακεσ Διζγερςησ των FF Όπωσ είδαμε κατά τθ μελζτθ των FF, οι χαρακτθριςτικοί πίνακεσ δίνουν τθν τιμι τθσ επόμενθσ κατάςταςθσ κάκε FF ωσ ςυνάρτθςθ τθσ παροφςασ

Διαβάστε περισσότερα

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο Αριθμητικά κυκλώματα Ημιαθροιστής (Half Adder) Ο ημιαθροιςτήσ είναι ζνα κφκλωμα το οποίο προςθζτει δφο δυαδικά ψηφία (bits) και δίνει ωσ αποτζλεςμα το άθροιςμά τουσ και το κρατοφμενο. Με βάςη αυτή την

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Ζλεγχοσ Σφαλμάτων μετά τθν Καταςκευι Μοντζλο Κολλθμζνο-ςτο-0, -1 Παραδείγματα Διαδικαςίασ Ελζγχου Λογικι

Διαβάστε περισσότερα

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Δημήτρης Κωνσταντίνου, Γιώργος Δημητρακόπουλος Εφόσον έχουμε περιγράψει το κύκλωμά μας σε System Verilog θα πρέπει να βεβαιωθούμε πως λειτουργεί

Διαβάστε περισσότερα

ΕΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 4.1

ΕΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 4.1 ΕΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 4. Να γίνει πρόγραμμα το οποίο να επιλφει το Διαγώνιο Σφςτθμα: A ι το ςφςτθμα : ι ςε μορφι εξιςώςεων το ςφςτθμα : Αλγόρικμοσ m(). Διαβάηουμε τθν τιμι του ( θ διάςταςθ του Πίνακα Α )..

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδϊςκων: Χ. Σωτηρύου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Στόχοι τθσ Τεχνολογικισ Απεικόνιςθσ Περιγραφι σ ωσ Βαςικοί Γράφοι Μεταςχθματιςμόσ Δυαδικοφ Κυκλϊματοσ

Διαβάστε περισσότερα

Εισαγωγή στη Verilog με το ISE

Εισαγωγή στη Verilog με το ISE Εισαγωγή στη Verilog με το ISE Πατάμε new project Δίνουμε όνομα και κατάλογο όπου θα αποθηκευτεί το project. Next όπου επιλέγουμε chip και preferred language βάζουμε Verilog Next και στο Create new source

Διαβάστε περισσότερα

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Διαχείριςθ Μνιμθσ Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Κάκε μεταβλθτι ςχετίηεται με μία κζςθ ςτθν κφρια μνιμθ του υπολογιςτι. Κάκε κζςθ ςτθ μνιμθ ζχει τθ δικι τθσ ξεχωριςτι διεφκυνςθ. Με άμεςθ

Διαβάστε περισσότερα

Ακολουκιακά Λογικά Κυκλώματα

Ακολουκιακά Λογικά Κυκλώματα Ακολουκιακά Λογικά Κυκλώματα Τα ψθφιακά λογικά κυκλϊματα που μελετιςαμε μζχρι τϊρα ιταν ςυνδυαςτικά κυκλϊματα. Στα ςυνδυαςτικά κυκλϊματα οι ζξοδοι ςε κάκε χρονικι ςτιγμι εξαρτϊνται αποκλειςτικά και μόνο

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Τα βασικά της

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2006-2007 Verilog: Στυλ Κώδικα και Synthesizable Verilog ΗΥ220 - Βασίλης Παπαευσταθίου 1 Τα στυλ του κώδικα Τρεις βασικές κατηγορίες Συµπεριφοράς

Διαβάστε περισσότερα

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι Εισαγωγή στη VHDL Εισαγωγή Very High Speed Integrated Circuits Hardware Description Language ιαφορές από γλώσσες προγραμματισμού: παράλληλη εκτέλεση εντολών προσδιορισμός

Διαβάστε περισσότερα

Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430

Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430 Πανεπιςτιμιο Θεςςαλίασ, Τμιμα Ηλεκτρολόγων Μθχανικϊν και Μθχανικϊν Υπολογιςτϊν HY430 Εργαςτιριο Ψθφιακϊν Κυκλωμάτων Χειμερινό Εξάμθνο 2013-2014 Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx ISE Design Suite

Διαβάστε περισσότερα

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1 Πολυπλέκτες Ο πολυπλζκτθσ (multipleer - ) είναι ζνα ςυνδυαςτικό κφκλωμα που επιλζγει δυαδικι πλθροφορία μιασ από πολλζσ γραμμζσ ειςόδου και τθν κατευκφνει ςε μια και μοναδικι γραμμι εξόδου. Η επιλογι μιασ

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Σμιμα

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 11 : Μετρθτζσ Ριπισ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 11 : Μετρθτζσ Ριπισ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 11 : Μετρθτζσ Ριπισ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Σμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 11: Μετρθτζσ Ριπισ Φϊτιοσ Βαρτηιϊτθσ

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακών Κυκλωμάτων

Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Verilog: Στυλ Κώδικα και Synthesizable Verilog Χειμερινό Εξάμηνο 2009 2010 Τα στυλ του κώδικα Τρεις βασικές κατηγορίες Behavioral (procedural) Dataflow Structural Synthesizable

Διαβάστε περισσότερα

Παράςταςη ςυμπλήρωμα ωσ προσ 1

Παράςταςη ςυμπλήρωμα ωσ προσ 1 Δρ. Χρήστος Ηλιούδης Θζματα διάλεξησ ΣΤ1 Προςθεςη αφαίρεςη ςτο ΣΤ1 2 ή ΣΤ1 Ονομάηουμε ςυμπλιρωμα ωσ προσ μειωμζνθ βάςθ R ενόσ μθ προςθμαςμζνου αρικμοφ Χ = ( Χ θ-1 Χ θ-2... Χ 0 ) R ζναν άλλον αρικμό Χ'

Διαβάστε περισσότερα

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. 9/28/ ΗΥ220 - Διάλεξθ 3θ, Επανάλθψθ

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων.  9/28/ ΗΥ220 - Διάλεξθ 3θ, Επανάλθψθ HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. Σωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ http://www.csd.uoc.gr/~hy220 1 Περιεχόμενα Συςτιματα Αρικμϊν και Δυαδικοί Αρικμοί Ψθφιακι Λογικι Ηλεκτρικά

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο

Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Verilog: Μια πιο κοντινή ματιά Χειμερινό Εξάμηνο 2009 2010 Δομή της γλώσσας Μοιάζει αρκετά με τη C Preprocessor Keywords Τελεστές = ==,!= , = &&? : & and or

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακών Κυκλωμάτων

Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Μηχανές Πεπερασμένων Καταστάσεων Χειμερινό Εξάμηνο 2009 2010 ΗΥ220 University of Crete 1 Τι είναι οι FSMs? 10 FSM Κερματοδέκτης open Μηχανισμός Αυτόματου 20 Απελευθέρωσης

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Αγαθοκλής Παπαδόπουλος και Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ελιδοποίθςθ (1/10) Σόςο θ κατάτμθςθ διαμεριςμάτων ςτακεροφ μεγζκουσ όςο και θ κατάτμθςθ διαμεριςμάτων μεταβλθτοφ και άνιςου μεγζκουσ δεν κάνουν

Διαβάστε περισσότερα

3 ΕΝΤΟΛΕΣ ΕΠΑΝΑΛΗΨΗΣ ( while, do while )

3 ΕΝΤΟΛΕΣ ΕΠΑΝΑΛΗΨΗΣ ( while, do while ) 3 ΕΝΤΟΛΕΣ ΕΠΑΝΑΛΗΨΗΣ ( while, do while ) Στα πιο πολλά προγράμματα απαιτείται κάποια ι κάποιεσ εντολζσ να εκτελοφνται πολλζσ φορζσ για όςο ιςχφει κάποια ςυνκικθ. Ο αρικμόσ των επαναλιψεων μπορεί να είναι

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Γιώργος Ζάγγουλοςκαι Δρ. Παναγιώτα Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Κδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Σμιμα

Διαβάστε περισσότερα

HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων. 1 ΗΥ430 - Διάλεξθ 10θ - Μθχανζσ Ρεπεραςμζνων Κατάςταςεων

HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων.  1 ΗΥ430 - Διάλεξθ 10θ - Μθχανζσ Ρεπεραςμζνων Κατάςταςεων HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. ωτηρίου, Βοηθόσ: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ 1 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ

Διαβάστε περισσότερα

Η γλώςςα προγραμματιςμού C

Η γλώςςα προγραμματιςμού C Η γλώςςα προγραμματιςμού C Οι εντολζσ επανάλθψθσ (while, do-while, for) Γενικά για τισ εντολζσ επανάλθψθσ Συχνά ςτο προγραμματιςμό είναι επικυμθτι θ πολλαπλι εκτζλεςθ μιασ ενότθτασ εντολϊν, είτε για ζνα

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι Παράςταςη κινητήσ υποδιαςτολήσ ςφμφωνα με το πρότυπο ΙΕΕΕ Δρ. Χρήστος Ηλιούδης το πρότυπο ΙΕΕΕ 754 ζχει χρθςιμοποιθκεί ευρζωσ ςε πραγματικοφσ υπολογιςτζσ. Το πρότυπο αυτό κακορίηει δφο βαςικζσ μορφζσ κινθτισ

Διαβάστε περισσότερα

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού 7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού Εισαγωγή Η χειρονακτική σχεδίαση ενός ψηφιακού συστήµατος είναι εξαιρετικά δύσκολη και επιρρεπής σε λάθη Συστήµατα που ξεπερνούς τις µερικές

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

ΑΝΑΠΣΤΞΘ ΕΦΑΡΜΟΓΩΝ Ε ΠΡΟΓΡΑΜΜΑΣΙΣΙΚΟ ΠΕΡΙΒΑΛΛΟΝ 3 ο ΓΕΝΙΚΟ ΛΤΚΕΙΟ Ν. ΜΤΡΝΘ- ΕΠΙΜΕΛΕΙΑ: ΠΤΡΙΔΑΚΘ Λ.

ΑΝΑΠΣΤΞΘ ΕΦΑΡΜΟΓΩΝ Ε ΠΡΟΓΡΑΜΜΑΣΙΣΙΚΟ ΠΕΡΙΒΑΛΛΟΝ 3 ο ΓΕΝΙΚΟ ΛΤΚΕΙΟ Ν. ΜΤΡΝΘ- ΕΠΙΜΕΛΕΙΑ: ΠΤΡΙΔΑΚΘ Λ. Ερωτήςεισ Προβλήματα Α. Σημειώςτε δεξιά από κάθε πρόταςη το γράμμα Σ αν η πρόταςη είναι ςωςτή και το γράμμα Λ αν είναι λάθοσ. 1. Θ περατότθτα ενόσ αλγορίκμου αναφζρεται ςτο γεγονόσ ότι καταλιγει ςτθ λφςθ

Διαβάστε περισσότερα

Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό. Βαγγζλθσ Οικονόμου

Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό. Βαγγζλθσ Οικονόμου Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό Βαγγζλθσ Οικονόμου Περιεχόμενα Πλθροφορίεσ Μακιματοσ Δομθμζνοσ Προγραμματιςμόσ (Οριςμοί, Γενικζσ Ζννοιεσ) Αλγόρικμοι και Ψευδοκϊδικασ Γλϊςςα προγραμματιςμοφ C Πλθροφορίεσ

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Verilog: Μια πιο κοντινή ματιά ΗΥ220 - Βασίλης Παπαευσταθίου 1 Δομή της γλώσσας Μοιάζει αρκετά με τη C Preprocessor Keywords Τελεστές =

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Μηχανές Πεπερασμένων Καταστάσεων ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 FSMs Οι μηχανές πεπερασμένων καταστάσεων Finite

Διαβάστε περισσότερα

ΣΕΙ ΕΡΡΩΝ ΜΑΙΟ 2013 ΠΣΤΧΙΑΚΗ ΕΡΓΑΙΑ ΣΙΜΕΝΙΔΗ ΣΕΦΑΝΟ ΕΠΙΒΛΕΠΩΝ ΚΑΘΗΓΗΣΗ : ΜΑΔΕΜΛΗ ΙΩΑΝΝΗ

ΣΕΙ ΕΡΡΩΝ ΜΑΙΟ 2013 ΠΣΤΧΙΑΚΗ ΕΡΓΑΙΑ ΣΙΜΕΝΙΔΗ ΣΕΦΑΝΟ ΕΠΙΒΛΕΠΩΝ ΚΑΘΗΓΗΣΗ : ΜΑΔΕΜΛΗ ΙΩΑΝΝΗ ΣΕΙ ΕΡΡΩΝ ΜΑΙΟ 2013 ΠΣΤΧΙΑΚΗ ΕΡΓΑΙΑ ΠΟΤΔΑΣΕ: ΛΑΔΑ ΧΡΙΣΙΝΑ ΣΙΜΕΝΙΔΗ ΣΕΦΑΝΟ ΕΠΙΒΛΕΠΩΝ ΚΑΘΗΓΗΣΗ : ΜΑΔΕΜΛΗ ΙΩΑΝΝΗ ΘΕΜΑ: ΧΕΔΙΑΗ ΚΑΙ ΤΛΟΠOΙΗΗ ΕΚΠΑΙΔΕΤΣΙΚΩΝ ΑΝΑΠΣΤΓΜΑΣΩΝ ΜΕΛΕΣΗ ΨΗΦΙΑΚΩΝ ΚΤΚΛΩΜΑΣΩΝ ΚΑΙ ΤΓΓΡΑΦΗ

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Verilog: Μια πιο κοντινή ματιά ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Δομή της γλώσσας Μοιάζει αρκετά με τη C Preprocessor

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 ΗΥ437 - Πολυεπίπεδθ Λογικι Απλοποίθςθ με Περιεχόμενα Είδθ Αδιάφορων Τιμϊν ςε Πολφ-επίπεδα Δυαδικά Δίκτυα Αδιάφορεσ

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL Περιγραφή Κυκλωμάτων με χρήση της VHDL Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL Οργάνωση Παρουσίασης VHDL εισαγωγικές έννοιες Ροή και επίπεδα σχεδιασμού ψηφιακών κυκλωμάτων Μοντελοποίηση Καθυστερήσεων

Διαβάστε περισσότερα

HY225 Οργϊνωςη Υπολογιςτών

HY225 Οργϊνωςη Υπολογιςτών HY225 Οργϊνωςη Υπολογιςτών Διδϊςκοντεσ: Δ. Νικολόπουλοσ, Χ. ωτηρύου. http://www.csd.uoc.gr/~hy225 1 Πωσ μετρϊμε την Απόδοςη; Ορίηουμε Απόδοςθ = 1/(Χρόνοσ Εκτζλεςθσ) «Χ είναι ν φορζσ γρθγορότερο από το

Διαβάστε περισσότερα

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ.

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ. HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ http://www.csd.uoc.gr/~hy220 1 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2013-2014 ΗΥ220 - Γιώργος Καλοκαιρινός & Bασίλης Παπαευσταθίου 1 Verilog: Τα βασικά ΗΥ220 - Γιώργος Καλοκαιρινός & Bασίλης Παπαευσταθίου 2 Η εξέλιξη

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

Δομζσ Δεδομζνων Πίνακεσ

Δομζσ Δεδομζνων Πίνακεσ Δομζσ Δεδομζνων Πίνακεσ Διάλεξθ 2 Περιεχόμενα Πίνακεσ: Οριςμοί, Γενικζσ ζννοιεσ Αποκικευςθ πινάκων Ειδικζσ μορφζσ πινάκων Αλγόρικμοι Αναηιτθςθσ Σειριακι Αναηιτθςθ Δυαδικι Αναηιτθςθ Οριςμοί, Γενικζσ ζννοιεσ

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Αυτζσ οι οδθγίεσ ζχουν ςτόχο λοιπόν να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο του Άβακα. Παρουςίαςη

Διαβάστε περισσότερα

Θεςιακά ςυςτιματα αρίκμθςθσ

Θεςιακά ςυςτιματα αρίκμθςθσ Θεςιακά ςυςτιματα αρίκμθςθσ Δρ. Χρήστος Ηλιούδης αρικμθτικό ςφςτθμα αρίκμθςθσ (Number System) Αξία (value) παράςταςθ Οι αξίεσ (π.χ. το βάροσ μιασ ποςότθτασ μιλων) μποροφν να παραςτακοφν με πολλοφσ τρόπουσ

Διαβάστε περισσότερα

Μετρητής Ριπής ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ. Αναφορά 9 ης. εργαστηριακής άσκησης: ΑΦΡΟΔΙΤΗ ΤΟΥΦΑ Α.Μ.:2024201100032

Μετρητής Ριπής ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ. Αναφορά 9 ης. εργαστηριακής άσκησης: ΑΦΡΟΔΙΤΗ ΤΟΥΦΑ Α.Μ.:2024201100032 ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΣΧΟΛΗ ΟΙΚΟΝΟΜΙΑΣ, ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Αναφορά 9 ης εργαστηριακής άσκησης: Μετρητής Ριπής ΑΦΡΟΔΙΤΗ

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Κανονικζσ Μορφζσ Οριςμόσ των Δυαδικών Διαγραμμάτων Αποφάςεων (Binary Decision Diagrams BDDs) Αναπαράςταςθ

Διαβάστε περισσότερα

Ονοματεπϊνυμο.. ΔΙΑΓΩΝΙΣΜΑ ΑΕΠΠ

Ονοματεπϊνυμο.. ΔΙΑΓΩΝΙΣΜΑ ΑΕΠΠ Ονοματεπϊνυμο.. ΔΙΑΓΩΝΙΣΜΑ ΑΕΠΠ ΘΕΜΑ 1 Ο Α) Ερωτισεις τφπου ωστοφ-λάκους 1. Κάκε βρόχος Για μπορεί να μετατραπεί σε Όσο 2. Κάκε βρόχος που υλοποιείται με τθν εντολι Όσο...επανάλαβε μπορεί να γραφεί και

Διαβάστε περισσότερα

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής VHDL Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής Structural (Δομική) Dataflow (Ροής δεδομένων) Behavioral (Συμπεριφοράς) Η VDHL χρησιμοποιείται για την περιγραφή

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό.

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό. Κωδικοποιητές Ο κωδικοποιθτισ (nor) είναι ζνα κφκλωμα το οποίο διακζτει n γραμμζσ εξόδου και το πολφ μζχρι m = 2 n γραμμζσ ειςόδου και (m 2 n ). Οι ζξοδοι παράγουν τθν κατάλλθλθ λζξθ ενόσ δυαδικοφ κϊδικα

Διαβάστε περισσότερα

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ,

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 1 2 3 4 Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 8-bit ςε DSP) και αυτι κακορίηει και τθν δομι τθσ

Διαβάστε περισσότερα

Προγραμματιςμόσ Μεκόδων Επίλυςθσ Προβλθμάτων. 15. Πίνακεσ ΙI. Ιωάννθσ Κατάκθσ. ΕΠΛ 032: Προγραμματιςμόσ Μεκόδων Επίλυςθσ Προβλθμάτων

Προγραμματιςμόσ Μεκόδων Επίλυςθσ Προβλθμάτων. 15. Πίνακεσ ΙI. Ιωάννθσ Κατάκθσ. ΕΠΛ 032: Προγραμματιςμόσ Μεκόδων Επίλυςθσ Προβλθμάτων Προγραμματιςμόσ Μεκόδων Επίλυςθσ Προβλθμάτων 15. Πίνακεσ ΙI Ιωάννθσ Κατάκθσ Σιμερα o Ειςαγωγι o Διλωςθ o Αρχικοποίθςθ o Πρόςβαςθ o Παραδείγματα Πίνακεσ - Επανάλθψθ o Στθν προθγοφμενθ διάλεξθ κάναμε μια

Διαβάστε περισσότερα

VHDL Introduction. Subtitle

VHDL Introduction. Subtitle VHDL Introduction Subtitle Getting Started VHDL means Very Hard Difficult Language That s a lie!!! τα αρχικά VHDL είναι συντομογραφία του VHSIC Hardware Description Language, ενώ το VHSIC αντιπροσωπεύει

Διαβάστε περισσότερα

ΥΡΟΝΣΙΣΗΡΙΟ Μ. Ε. ΚΑΙ ΚΕΝΣΡΟ ΙΔΙΑΙΣΕΡΩΝ ΜΑΘΗΜΑΣΩΝ «ΚΤΡΙΣΗ» ΔΙΑΓΩΝΙΜΑ ΘΕΜΑΣΑ Β ΛΤΚΕΙΟΤ ΥΕΒΡΟΤΑΡΙΟ 2018 ΑΕΠΠ

ΥΡΟΝΣΙΣΗΡΙΟ Μ. Ε. ΚΑΙ ΚΕΝΣΡΟ ΙΔΙΑΙΣΕΡΩΝ ΜΑΘΗΜΑΣΩΝ «ΚΤΡΙΣΗ» ΔΙΑΓΩΝΙΜΑ ΘΕΜΑΣΑ Β ΛΤΚΕΙΟΤ ΥΕΒΡΟΤΑΡΙΟ 2018 ΑΕΠΠ ΥΡΟΝΣΙΣΗΡΙΟ Μ. Ε. ΚΑΙ ΚΕΝΣΡΟ ΙΔΙΑΙΣΕΡΩΝ ΜΑΘΗΜΑΣΩΝ «ΚΤΡΙΣΗ» ΔΙΑΓΩΝΙΜΑ ΘΕΜΑΣΑ Β ΛΤΚΕΙΟΤ ΥΕΒΡΟΤΑΡΙΟ 2018 ΘΕΜΑ Α ΑΕΠΠ Α1. Για κακεμία από τισ παρακάτω προτάςεισ να χαρακτθρίςετε με ΣΩΣΤΟ ι ΛΑΘΟΣ 1. Η ζκφραςθ

Διαβάστε περισσότερα

Διαχείριςη Αριθμοδεικτών (v.1.0.7)

Διαχείριςη Αριθμοδεικτών (v.1.0.7) Διαχείριςη Αριθμοδεικτών (v.1.0.7) Περιεχόμενα 1. Μενοφ... 5 1.1 Αρικμοδείκτεσ.... 5 1.1.1 Δθμιουργία Αρικμοδείκτθ... 6 1.1.2 Αντιγραφι Αρικμοδείκτθ... 11 2. Παράμετροι... 12 2.1.1 Κατθγορίεσ Αρικμοδεικτϊν...

Διαβάστε περισσότερα

1 ΕΙΣΑΓΩΓΗ ΤΥΠΟΙ ΔΕΔΟΜΕΝΩΝ

1 ΕΙΣΑΓΩΓΗ ΤΥΠΟΙ ΔΕΔΟΜΕΝΩΝ 1 ΕΙΣΑΓΩΓΗ ΤΥΠΟΙ ΔΕΔΟΜΕΝΩΝ Αλγόρικμοσ Μια ςειρά από ςαφι και κακοριςμζνα βιματα, τα οποία οδθγοφν ςτθ λφςθ ενόσ προβλιματοσ, περιγραφι του κάκε βιματοσ με λόγια και λζξεισ-κλειδιά, π.χ. διάβαςε, υπολόγιςε,

Διαβάστε περισσότερα

ΗΥ101: Ειςαγωγι ςτθν Πλθροφορικι

ΗΥ101: Ειςαγωγι ςτθν Πλθροφορικι Παράςταςη κινητήσ υποδιαςτολήσ Δρ. Χρήστος Ηλιούδης Θζματα διάλεξησ Παράςταςη ςταθεροφ ςημείου Παράςταςη αριθμών κινητοφ ςημείου 2 Παράςταςη ςταθεροφ ςημείου Στθν παράςταςθ αρικμϊν ςτακεροφ ςθμείου (Fixed

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Τμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 13: Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ Φιλιοποφλου Ειρινθ Προςθήκη νζων πεδίων Ασ υποκζςουμε ότι μετά τθ δθμιουργία του πίνακα αντιλαμβανόμαςτε ότι ζχουμε ξεχάςει κάποια πεδία. Είναι ζνα πρόβλθμα το οποίο

Διαβάστε περισσότερα

HY430 Εργαςτόριο Ψηφιακών Κυκλωμϊτων.

HY430 Εργαςτόριο Ψηφιακών Κυκλωμϊτων. HY430 Εργαςτόριο Ψηφιακών Κυκλωμϊτων Διδϊςκων: Χ. Σωτηρύου, Βοηθόσ: (θα ανακοινωθεύ) http://inf-server.inf.uth.gr/courses/ce430/ 1 2 1 3 Συγχρονιςμόσ Οριςμόσ, Περιπτώςεισ Αςφγχρονη Είςοδοσ Διαφορετικά

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 3 : τοιχεία Μνιμθσ flip-flop.

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 3 : τοιχεία Μνιμθσ flip-flop. Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 3 : τοιχεία Μνιμθσ flip-flop Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Σμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 3: τοιχεία

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα