ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ (Θεωπία) Θέμαηα Δξεηάζεων

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ (Θεωπία) Θέμαηα Δξεηάζεων"

Transcript

1 ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ (Θεωπία) Θέμαηα Δξεηάζεων

2 Μάθημα: ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ Πάηπα Α Δξεηαζηική Πεπίοδορ Χειμεπινού Δξαμήνος ΘΔΜΑ 1 ο (20%) Γίνεηαι ηο παπακάηυ ππόγπαμμα VHDL. Να πποζδιοπίζεηε ποιο κύκλυμα πεπιγπάθει και να εξηγήζεηε. LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY problem IS PORT (w : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; En : IN STD_LOGIC ; y0, y1, y2, y3 : OUT STD_LOGIC) ; END problem ; ARCHITECTURE Behavior OF problem IS BEGIN PROCESS (w, En) BEGIN y0<= 0, y1<= 0, y2<= 0, y3<= 0 ; IF En= 1 THEN IF w= 00 THEN y0<= 1 ; ELSEIF w= 01 THEN y1<= 1 ; ELSEIF w= 10 THEN y2<= 1 ; ELSE y3<= 1 ; END IF ; END IF ; END PROCESS ; END Behavior ; Θέμα 2 ο (35%) X Z Q 1 D 1 Q 1 CK Q 2 D 2 Q 2 CK CK 1. Το κύκλυμα έσει μια είζοδο w και μια έξοδο z. 2. Ολερ οι αλλαγέρ ηος κςκλώμαηορ ζςμβαίνοςν καηά ηο ανεπσόμενο μέηυπο ηυν υπολογιακών παλμών. 3. Οηαν οι ηπειρ πποηγούμενερ ηιμέρ ηηρ ειζόδος w είναι 101, η έξοδορ είναι z = 1, αλλιώρ είναι z = 0. Να σπηζιμοποιήζεηε D flip-flop και μησανή ηύπος Moore ή μησανή ηύπος Mealy.

3 Μάθημα: ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ Πάηπα Α Δξεηαζηική Πεπίοδορ Δαπινού Δξαμήνος ΘΔΜΑ 1 ο (25%) α. Να ζσεδιάζεηε με πολςπλέκηη 4-ζε-1 ζςνδςαζηικό κύκλυμα ηπιών ειζόδυν και μιαρ εξόδος. Ζ έξοδορ θα έσει λογικό 1 όηαν η είζοδορ είναι άπηιορ απιθμόρ. β. Να ςλοποιήζεηε ηη ζσεδίαζη με ηην γλώζζα VHDL. ΘΔΜΑ 2 ο (30%) 4. Το κύκλυμα έσει μια είζοδο w και μια έξοδο z. 5. Ολερ οι αλλαγέρ ηος κςκλώμαηορ ζςμβαίνοςν καηά ηο ανεπσόμενο μέηυπο ηυν υπολογιακών παλμών. 6. Οηαν οι ηέζζεπειρ πποηγούμενερ ηιμέρ ηηρ ειζόδος w είναι 1001, η έξοδορ είναι z = 1, αλλιώρ είναι z = 0. Να σπηζιμοποιήζεηε D flip-flop και μησανή ηύπος Moore ή μησανή ηύπος Mealy.

4 Μάθημα: ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ Πάηπα Β Δξεηαζηική Πεπίοδορ Δαπινού Δξαμήνος ΘΔΜΑ 1 ο (25%) α. Να ζσεδιάζεηε ηο ζςνδςαζηικό κύκλυμα πος ςλοποιεί ηη λογική ζςνάπηηζη F(x, y, z, w) = Σ (1, 2, 5, 7, 10) σπηζιμοποιώνηαρ έναν πολςπλέκηη 4 - ζε - 1 και ηον ελάσιζηο δςναηό απιθμό λογικών πςλών. β. Να ςλοποιήζεηε ηη ζσεδίαζη με ηη γλώζζα VHDL. ΘΔΜΑ 2 ο (30%) Να αναλύζεηε ηο ζύγσπονο ακολοςθιακό κύκλυμα ηος ζσήμαηορ. 7. Το κύκλυμα έσει μια είζοδο w και μια έξοδο z. 8. Ολερ οι αλλαγέρ ηος κςκλώμαηορ ζςμβαίνοςν καηά ηο ανεπσόμενο μέηυπο ηυν υπολογιακών παλμών. 9. Οηαν οι ηέζζεπειρ πποηγούμενερ ηιμέρ ηηρ ειζόδος w είναι 0110, η έξοδορ είναι z = 1, αλλιώρ είναι z = 0. Να σπηζιμοποιήζεηε D flip-flop και μησανή ηύπος Moore ή μησανή ηύπος Mealy.

5 Μάθημα: ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ Πάηπα Α Δξεηαζηική Πεπίοδορ Χειμεπινού Δξαμήνος ΘΔΜΑ 1 ο (20%) Γίνεηαι ηο παπακάηυ ππόγπαμμα VHDL. Να πποζδιοπίζεηε ποιο κύκλυμα πεπιγπάθει και να εξηγήζεηε. LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY problem IS PORT (w : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; En : IN STD_LOGIC ; y0, y1, y2, y3 : OUT STD_LOGIC) ; END problem ; ARCHITECTURE Behavior OF problem IS BEGIN PROCESS (w, En) BEGIN y0<= 0, y1<= 0, y2<= 0, y3<= 0 ; IF En= 1 THEN IF w= 00 THEN y0<= 1 ; ELSEIF w= 01 THEN y1<= 1 ; ELSEIF w= 10 THEN y2<= 1 ; ELSE y3<= 1 ; END IF ; END IF ; END PROCESS ; END Behavior ; Θέμα 2 ο (35%) 10. Το κύκλυμα έσει μια είζοδο w και μια έξοδο z. 11. Ολερ οι αλλαγέρ ηος κςκλώμαηορ ζςμβαίνοςν καηά ηο ανεπσόμενο μέηυπο ηυν υπολογιακών παλμών. 12. Οηαν οι ηπειρ πποηγούμενερ ηιμέρ ηηρ ειζόδος w είναι 1101, η έξοδορ είναι z = 1, αλλιώρ είναι z = 0. Να σπηζιμοποιήζεηε D flip-flop και μησανή ηύπος Moore ή μησανή ηύπος Mealy.

6 Μάθημα: ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ Πάηπα Β Δξεηαζηική Πεπίοδορ Χειμεπινού Δξαμήνος ΘΔΜΑ 1 ο (25%) Να ζσεδιάζεηε ηο κύκλυμα ηεζζάπυν ειζόδυν (Α1, Α0, Β1, Β0) και ηπιών εξόδυν (Φ, Υ, Ε) πος πεπιγπάθεηαι από ηον παπακάηυ πίνακα αλήθειαρ. Να ςλοποιήζεηε ηη ζσεδίαζη με ηην γλώζζα VHDL. ΘΔΜΑ 2 ο (30%) A1 A0 B1 B0 X Y Z Το κύκλυμα έσει μια είζοδο x και μια έξοδο z. 14. Ολερ οι αλλαγέρ ηος κςκλώμαηορ ζςμβαίνοςν καηά ηο ανεπσόμενο μέηυπο ηυν υπολογιακών παλμών. 15. Οηαν οι ηέζζεπειρ πποηγούμενερ ηιμέρ ηηρ ειζόδος x είναι 1010, η έξοδορ είναι z = 1, αλλιώρ είναι z = 0. Να σπηζιμοποιήζεηε D flip-flop και μησανή ηύπος Moore ή μησανή ηύπος Mealy.

7 Μάθημα: ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ Πάηπα A Δξεηαζηική Πεπίοδορ Δαπινού Δξαμήνος ΘΔΜΑ 1 ο (30%) ΘΔΜΑ 2 ο (30%) Να ζσεδιάζεηε με Τ flip-flop αμθίδπομο μεηπηηή 2 bit. Να σπηζιμοποιήζεηε μησανή καηάζηαζηρ ηύπος Moore ή ηύπος Mealy. Σημείυζη: Το ζύζηημα έσει μια εξυηεπική είζοδο x και για x=1 έσοςμε αύξοςζα μέηπηζη, ενώ για x=0 έσοςμε θθίνοςζα μέηπηζη. ΘΔΜΑ 3 ο (40%) 16. Το κύκλυμα έσει μια είζοδο x και μια έξοδο z. 17. Ολερ οι αλλαγέρ ηος κςκλώμαηορ ζςμβαίνοςν καηά ηο ανεπσόμενο μέηυπο ηυν υπολογιακών παλμών. 18. Οηαν οι ηιμέρ ηηρ ειζόδος x για ηπειρ ζςνεσόμενοςρ παλμούρ ηος πολογιού είναι 010 ή 011, η έξοδορ είναι z = 1, αλλιώρ είναι z = 0. Να σπηζιμοποιήζεηε μησανή καηάζηαζηρ ηύπος Moore ή ηύπος Mealy και οποιοδήποηε ηύπο flipflop επιθςμείηε.

8 Μάθημα: ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ Πάηπα Β Δξεηαζηική Πεπίοδορ Δαπινού Δξαμήνος ΘΔΜΑ 1 ο (40%) ΘΔΜΑ 2 ο (60%) 19. Το κύκλυμα έσει μια είζοδο x και μια έξοδο z. 20. Ολερ οι αλλαγέρ ηος κςκλώμαηορ ζςμβαίνοςν καηά ηο ανεπσόμενο μέηυπο ηυν υπολογιακών παλμών. 21. Οηαν οι ηιμέρ ηηρ ειζόδος x για ηέζζεπιρ ζςνεσόμενοςρ παλμούρ ηος πολογιού είναι 1010 ή 1011, η έξοδορ είναι z = 1, αλλιώρ είναι z = 0. Να σπηζιμοποιήζεηε μησανή καηάζηαζηρ ηύπος Moore ή ηύπος Mealy και οποιοδήποηε ηύπο flipflop επιθςμείηε.

9 Μάθημα: ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ Πάηπα Α Δξεηαζηική Πεπίοδορ Χειμεπινού Δξαμήνος ΘΔΜΑ 1 ο (20%) Γίνεηαι ο πίνακαρ αλήθειαρ μιαρ ζςνάπηηζηρ F(x,y,z). Να γπάτεηε κώδικα VHDL πος πεπιγπάθει ηο απλούζηεπο κύκλυμα πος ςλοποιεί ηη ζςνάπηηζη F με βαζικέρ πύλερ AND, OR και NOT. x y z F ΘΔΜΑ 2 ο (35%) 22. Το κύκλυμα έσει μια είζοδο w και μια έξοδο z. 23. Όλερ οι αλλαγέρ ηος κςκλώμαηορ ζςμβαίνοςν καηά ηο ανεπσόμενο μέηυπο ηυν υπολογιακών παλμών. 24. Όηαν οι ηιμέρ ηηρ ειζόδος w για ηπειρ ζςνεσόμενοςρ παλμούρ ηος πολογιού είναι 101 ή 100, η έξοδορ είναι z =1, αλλιώρ είναι z = 0. Να σπηζιμοποιήζεηε μησανή ηύπος Moore ή μησανή ηύπος Mealy και οποιοδήποηε ηύπο flip-flop επιθςμείηε.

10 Μάθημα: ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ Πάηπα Β Δξεηαζηική Πεπίοδορ Χειμεπινού Δξαμήνος ΘΔΜΑ 1 ο (20%) Γίνεηαι ο πίνακαρ αλήθειαρ ενόρ ζςνδςαζηικού τηθιακού ζςζηήμαηορ με ηπειρ (3) ειζόδοςρ και δςο (2) εξόδοςρ. Να γπάτεηε κώδικα VHDL πος πεπιγπάθει ηο απλούζηεπο κύκλυμα πος ςλοποιεί ηιρ ζςναπηήζειρ ηυν εξόδυν ηος ζςζηήμαηορ με βαζικέρ πύλερ AND, OR και NOT. x y z F1 F ΘΔΜΑ 2 ο (35%) CP Resetn 25. Το κύκλυμα έσει μια είζοδο w και μια έξοδο z. 26. Όλερ οι αλλαγέρ ηος κςκλώμαηορ ζςμβαίνοςν καηά ηο ανεπσόμενο μέηυπο ηυν υπολογιακών παλμών. 27. Όηαν οι ηιμέρ ηηρ ειζόδος x για δςο ζςνεσόμενοςρ παλμούρ ηος πολογιού είναι 00 ή 11, η έξοδορ είναι z =1, αλλιώρ είναι z = 0. Δπιηπέπονηαι οι επικαλύτειρ. Να σπηζιμοποιήζεηε μησανή ηύπος Mealy ή μησανή ηύπος Moore και οποιοδήποηε ηύπο flip-flop επιθςμείηε.

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών Θέμα Β.1: Απλός καταχωρητής 1 bit (D Flip-Flop) preset D D Q Q clk clear Σχήμα 2.1: D Flip-Flop με εισόδους preset και clear Με τη

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 6: Σύγχρονα Ακολουθιακά Κυκλώματα Κυριάκης Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ενότητα 3: Καταχωρητές - Απαριθμητές Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

Library, package και subprograms

Library, package και subprograms Library, package και subprograms Libraries Packages Subprograms Procedures Functions Overloading Αριθμητικά πακέτα Type conversion Shift operators Παράδειγμα Library - Package Ασκήσεις-Προβλήματα 12/8/2009

Διαβάστε περισσότερα

Εισαγωγή στη Γλώσσα VHDL

Εισαγωγή στη Γλώσσα VHDL Εισαγωγή στη Γλώσσα VHDL Παράδειγμα and3 Entity και Architecture Entity Entity - Παραδείγματα Architecture VHDL simulation παραδείγματος and3 Παράδειγμα NAND VHDL simulation παραδείγματος nand Boolean

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy)

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Προχωρημένα Θέματα Σχεδιασμού με VHDL Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 216-217 Συντρέχων Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 VHDL, Χαρακτηριστικά και τρόποι περιγραφής Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Τμήμα Μηχανικών Πληροφορικής ΤΕ http://diceslab.cied.teiwest.gr

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών n VHDL Processes Περίληψη n Εντολές If-Then-Else και CASE

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Περίληψη VHDL Processes Εντολές If-Then Then-Else και CASE Περιγραφή Flip-Flop Flop με VHDL

Διαβάστε περισσότερα

Structural VHDL. Structural VHDL

Structural VHDL. Structural VHDL Structural VHDL Παράδειγμα Multiplexer Component Component specification Port map command Unconnected outputs Unconnected inputs Generic map command Generate command Configuration Παράδειγμα 4-bit Adder

Διαβάστε περισσότερα

VHDL Εισαγωγικές έννοιες

VHDL Εισαγωγικές έννοιες VHDL Εισαγωγικές έννοιες ρ. Κ. Καραφασούλης ckaraf@aegean.gr Σχεδίαση Αρχικά ψηφιακά κυκλώµατα µπορούν να σχεδιασθούν µε το κατάλληλο λογισµικό. Μεγαλύτερα κυκλώµατα µπορούν να σχεδιασθούν ιεραρχικά από

Διαβάστε περισσότερα

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL) Προσοµοίωση Συστηµάτων µε VHDL (Peter Ashenden, The Students Guide to VHDL) Κώδικας VHDL Περιβάλλον Σχεδίασης Αναλυτής ιαχειριστής Βιβλιοθήκης Σχεδίασης Προσοµοιωτής Αντίστροφος Αναλυτής Βιβλιοθήκη Σχεδίασης

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Χρησιμοποιούμε τις μηχανές πεπερασμένων καταστάσεων (finite state machines FSMs) για την μοντελοποίηση της συμπεριφοράς ενός κυκλώματος, η

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

Βαζικές Δνόηηηες VHDL

Βαζικές Δνόηηηες VHDL Βαζικές Δνόηηηες VHDL Έλα απηόλνκν κέξνο θώδηθα VHDL πεξηιακβάλεη ηνπιάρηζηνλ ηξεηο βαζηθέο ελόηεηεο: Δνόηηηα δήλωζης βιβλιοθηκών: Δήιωζε ηωλ βηβιηνζεθώλ πνπ πξόθεηηαη λα ρξεζηκνπνηεζνύλ ζην ζρεδηαζκό,

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Αγαθοκλής Παπαδόπουλος και Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Γιώργος Ζάγγουλοςκαι Δρ. Παναγιώτα Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι Εισαγωγή στη VHDL Εισαγωγή Very High Speed Integrated Circuits Hardware Description Language ιαφορές από γλώσσες προγραμματισμού: παράλληλη εκτέλεση εντολών προσδιορισμός

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Περιγραφή Κυκλωμάτων με χρήση της VHDL Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Οργάνωση Παρουσίασης Περιγραφή Δομής σε VHDL (Structural Description) Μηχανές Πεπερασμένων Καταστάσεων

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Τύποι Δεδομένων και Τελεστές Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr Αντίρριο

Διαβάστε περισσότερα

ΛΥΣΕΙΣ 3 ης ΓΡΑΠΤΗΣ ΕΡΓΑΣΙΑΣ

ΛΥΣΕΙΣ 3 ης ΓΡΑΠΤΗΣ ΕΡΓΑΣΙΑΣ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΠΤΥΧΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΛΥΣΕΙΣ 3 ης ΓΡΑΠΤΗΣ ΕΡΓΑΣΙΑΣ ΠΑΤΡΑ 2006 9.

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος

Διαβάστε περισσότερα

ΠΑΡΑΡΤΗΜΑ Β. Verification

ΠΑΡΑΡΤΗΜΑ Β. Verification ΠΑΡΑΡΤΗΜΑ Β Ροή Σχεδίασης και VHDL Ροή Σχεδίασης Πριν περάσουµε σε περιγραφή της γλώσσας VHDL είναι χρήσιµο να δούµε το περιβάλλον και τη ροή της σχεδίασης. Τα βήµατα µιας σχεδίασης βασισµένης σε VHDL

Διαβάστε περισσότερα

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL)

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL) Μοντελοποίηση Επιπέδου Πύλης (Peter Ashenden, The Students Guide to VHDL) Πολλαπλά Επίπεδα Τιµών Η κατάσταση µίας γραµµής δεν είναι πάντα 0 ή 1. ιαµάχες οδηγούν σε απροσδιοριστία. Χρήση πολλαπλών επιπέδων

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι Περιγραφή Κυκλωμάτων με χρήση της VHDL Καταχωρητές και χρονισμός με ρολόι Οργάνωση Παρουσίασης Διεργασίες (κανόνες) Ακολουθιακές Δηλώσεις (Sequential Statements) Καταχωρητές και χρονισμός Συμπληρωματική

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ, ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΚΑΙ ΙΚΤΥΩΝ Τοµέας Υλικού και Αρχιτεκτονικής Υπολογιστών ΗΥ232 - Ψηφιακή Σχεδίαση µε CAD ΙΙ Design Flow Simulation - Synthesis

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Προετοιµασία: Παπαδόπουλος Γιώργος Σούρδης Γιάννης Για το µάθηµα Οργάνωσης Υπολογιστών (ΑΡΥ301), 2002 ΕΙΣΑΓΩΓΗ ΣΤΗ STRUCTURAL VHDL Η VHDL είναι

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Περίγραµµα διάλεξης Υποκυκλώµατα Περιγραφή δοµής στη VHDL Βιβλιοθήκες

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL) Ακολουθιακές εντολές (Peter Ashenden, The Students Guide to VHDL) Εντολή If Τα βασικά χαρακτηριστικά της είναι τα εξής: Μπορεί να χρησιµοποιηθεί για τον έλεγχο µίας ή περισσοτέρων συνθηκών. Η πρώτη συνθήκη

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Σχόλια: - - This is a single line comment - - There is no alternative way to write multi-line comments Αναγνωριστικά: Τα αναγνωριστικά

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Συνδυαστικό Κυκλωμα: Το κύκλωμα του οποίου οι έξοδοι εξαρτώνται αποκλειστικά από τις τρέχουσες εισόδους του. Ακολουθιακό Κύκλωμα: Το κύκλωμα

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα 1. Στόχοι 3

Διαβάστε περισσότερα

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Εισαγωγή στη VHDL Υλοποίηση στο Quartus ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκοντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών

Διαβάστε περισσότερα

Ανάπηςξη Δθαπμογών ζε Ππογπαμμαηιζηικό Πεπιβάλλον

Ανάπηςξη Δθαπμογών ζε Ππογπαμμαηιζηικό Πεπιβάλλον Μάθημα 10 ( 2.4.2, 8.1, 8.1.1) Ανάπηςξη Δθαπμογών ζε Ππογπαμμαηιζηικό Πεπιβάλλον Δπγαζία 9 Α. Να βπεθεί η ηιμή πος θα έσει η μεηαβληηή Φ μεηά ηην εκηέλεζη καθεμιάρ από ηιρ παπακάηυ ενηολέρ εκσώπηζηρ. Οι

Διαβάστε περισσότερα

VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων

VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL (Very high speed integrated

Διαβάστε περισσότερα

(Peter Ashenden, The Students Guide to VHDL)

(Peter Ashenden, The Students Guide to VHDL) Υποπρογράµµατα Πακέτα (Peter Ashenden, The Students Guide to VHDL) Procedures Μία διαδικασία (procedure) δηλώνεται και κατόπιν καλείται όσες φορές θέλουµε. procedure identifier [(parameter_interface_list)]

Διαβάστε περισσότερα

Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4

Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4 Διδάςκων Τςιακμάκησ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ. ΤΕΙ ΚΡΗΤΗΣ / ΠΑΡΑΡΤΗΜΑ ΧΑΝΙΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ mode mode(0) ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ Εµµανουήλ Καπαρού Επιβλέπων : ρ Μηχ Νικόλαος

Διαβάστε περισσότερα

Οι Βιβλιοθήκες IEEE και παραδείγµατα functions

Οι Βιβλιοθήκες IEEE και παραδείγµατα functions Οι Βιβλιοθήκες IEEE και παραδείγµατα functions Βιβλιοθήκες µε την Εντολή Library Η VHDL επιτρέπει βιβλιοθήκες που ορίζονται µε τηνεντολή: library LibraryName; Εδώ, ο µεταγλωτιστης µπορεί να βρεί διάφορα

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) VHDL

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Οντότητες και συντρέχουσες δηλώσεις

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Οντότητες και συντρέχουσες δηλώσεις Περιγραφή Κυκλωμάτων με χρήση της VHDL Οντότητες και συντρέχουσες δηλώσεις Οργάνωση Παρουσίασης Οντότητα (Entity) Συντρέχουσα VHDL (Concurrent VHDL) Συντρέχουσες Δηλώσεις (Concurrent Statements) Αντικείμενα

Διαβάστε περισσότερα

Κεφάλαιο 5 ο Προγραμματισμός Ολοκληρωμένων Κυκλωμάτων

Κεφάλαιο 5 ο Προγραμματισμός Ολοκληρωμένων Κυκλωμάτων Κεφάλαιο 5 ο Προγραμματισμός Ολοκληρωμένων Κυκλωμάτων Στο κεφάλαιο αυτό επικεντρωνόμαστε στον προγραμματισμό συσκευών FieldProgrammableGateArrays FPGA με απλά κυκλώματα. Οι FPGAs συνήθως τοποθετούνται

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ VII ΜΕΤΡΗΤΕΣ-ΚΑΤΑΧΩΡΗΤΕΣ

ΚΕΦΑΛΑΙΟ VII ΜΕΤΡΗΤΕΣ-ΚΑΤΑΧΩΡΗΤΕΣ Μετρητές-Καταχωρητές ΚΕΦΑΛΑΙΟ VII ΜΕΤΡΗΤΕΣ-ΚΑΤΑΧΩΡΗΤΕΣ 7.1 ΜΕΤΡΗΤΕΣ Όπως αναφέρθηκε στο κεφάλαιο 5, ένα flip-flop μπορεί να απομνημονεύει μόνο ένα ψηφίο μιας δυαδικής πληροφορίας. Έτσι, είναι ευνόητο ότι

Διαβάστε περισσότερα

Πρόλογος Συμβολή σε ορισμένα σημεία του περιεχομένου είχαν οι διδάκτορες Γ. Οικονομάκος και Ισ. Σίδερης καθώς και οι μεταπτυχιακοί σπουδαστές Ι. Σιφναίος, Ε. Χανιωτάκης και Κ. Ασφής τους οποίους ευχαριστώ

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 1: Εισαγωγή - Ιστορία Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΑ ΥΠΟΛΟΓΙΣΤΩΝ

ΤΕΧΝΟΛΟΓΙΑ ΥΠΟΛΟΓΙΣΤΩΝ ΚΕΦ Τ ΣΗΜΑΣΑ ΑΡΙΘΜΗ Η ΑΚΕΡΑΙΟΙ ΑΡΙΘΜΟΙ 425 = 4 εκατοντϊδεσ 2 δεκϊδεσ 5 μονϊδεσ 4 * 2* 5* 4 * 2* 5* 4 *2 2* 5* 94257 = 9* 4* 2* 5* 7* * 9*5 4*4 5*2 7* * 2*3 Για τον προηγούμενο αριθμό Θϋτοντασ β= (η βϊςη

Διαβάστε περισσότερα

ΔΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 8 η ΑΠΟΚΡΙΗ ΚΤΚΛΩΜΑΣΩΝ ΔΝΑΛΛΑΟΜΔΝΟΤ ΡΔΤΜΑΣΟ RC, RL & RLC Δ ΠΑΡΑΛΛΗΛΗ ΤΝΓΔΜΟΛΟΓΙΑ

ΔΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 8 η ΑΠΟΚΡΙΗ ΚΤΚΛΩΜΑΣΩΝ ΔΝΑΛΛΑΟΜΔΝΟΤ ΡΔΤΜΑΣΟ RC, RL & RLC Δ ΠΑΡΑΛΛΗΛΗ ΤΝΓΔΜΟΛΟΓΙΑ ΣΔΙ ΚΑΒΑΛΑ ΥΟΛΗ ΣΔΥΝΟΛΟΓΙΚΩΝ ΔΦΑΡΜΟΓΩΝ ΣΜΗΜΑ ΗΛΔΚΣΡΟΛΟΓΙΑ ΣΟΜΔΑ ΗΛΔΚΣΡΟΣΔΥΝΙΑ & ΗΛΔΚΣΡΙΚΩΝ ΜΔΣΡΗΔΩΝ ΔΡΓΑΣΗΡΙΟ ΗΛΔΚΣΡΙΚΩΝ ΚΤΚΛΩΜΑΣΩΝ ΙΙ ΔΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 8 η ΑΠΟΚΡΙΗ ΚΤΚΛΩΜΑΣΩΝ ΔΝΑΛΛΑΟΜΔΝΟΤ ΡΔΤΜΑΣΟ RC, RL

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Συνδυαστικών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL (Very high

Διαβάστε περισσότερα

inputs outputs Σχήμα 3.1: Σχηματική παράσταση της λειτουργίας του testbench

inputs outputs Σχήμα 3.1: Σχηματική παράσταση της λειτουργίας του testbench ΑΣΚΗΣΗ 3: Έλεγχος Μονάδων TESTBENCH Ορισμός του testbench Η πρώτη εφαρμογή σχεδίασης κυκλωμάτων στην οποία χρησιμοποήθηκε η VHDL ήταν η προσομοίωση. Η προσομοίωση για να λειτουργήσει απαιτεί, εκτός από

Διαβάστε περισσότερα

ΚΥΠΡΙΑΚΗ ΜΑΘΗΜΑΤΙΚΗ ΕΤΑΙΡΕΙΑ

ΚΥΠΡΙΑΚΗ ΜΑΘΗΜΑΤΙΚΗ ΕΤΑΙΡΕΙΑ ΚΥΠΡΙΑΚΗ ΜΑΘΗΜΑΤΙΚΗ ΕΤΑΙΡΕΙΑ ΕΠΑΡΧΙΑΚΟΣ ΔΙΑΓΩΝΙΣΜΟΣ ΝΟΕΜΒΡΙΟΣ 2011 Α ΓΥΜΝΑΣΙΟΥ Ημερομηνία: 05/11/2011 Ώρα εξέτασης: 10:00-12:00 ΟΔΗΓΙΕΣ: 1. Να λύσετε όλα τα θέματα, αιτιολογώντας πλήρως τις απαντήσεις

Διαβάστε περισσότερα

Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ Εισαγωγή... 19

Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ Εισαγωγή... 19 Περιεχόµενα Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ... 17 1 Εισαγωγή... 19 1.1 Σχετικά µε τη VHDL...19 1.2 Ροή σχεδιασµού...20 1.3 Εργαλεία EDA...21 1.4 Μεταγλώττιση από κώδικα VHDL σε κύκλωµα...22

Διαβάστε περισσότερα

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005 Κεφάλαιο 4 - iii: VHDL για Σχεδιασµό Συνδυαστικών Κυκλωµάτων Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL Γλώσσα προγραµµατισµού

Διαβάστε περισσότερα

Σύνθετοι τύποι και λειτουργίες. (Peter Ashenden, The Students Guide to VHDL)

Σύνθετοι τύποι και λειτουργίες. (Peter Ashenden, The Students Guide to VHDL) Σύνθετοι τύποι και λειτουργίες (Peter Ashenden, The Students Guide to VHDL) Πίνακες Πίνακες: Αποτελούνται από στοιχεία του ίδιου τύπου. Μονοδιάστατοι Πίνακες type table1 is array (0 to 7) of std_logic;

Διαβάστε περισσότερα

ΑΚΗΕΙ ΓΙΑ ΣΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΙΙ (7)

ΑΚΗΕΙ ΓΙΑ ΣΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΙΙ (7) ΑΚΗΕΙ ΓΙΑ ΣΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΙΙ (7) Να ειζάγεηε ζηον SQL Server ηην βάζη δεδομένων πος δημιοςπγήζαηε ζηην Access. Μποπούμε να ειζάγοςμε ζηον SQL Server ηην βάζη δεδομένυν πος δημιοςπγήζαμε ζηην Access. Η

Διαβάστε περισσότερα

Σχεδίαση κυκλωμάτων με VHDL: 1o μέρος

Σχεδίαση κυκλωμάτων με VHDL: 1o μέρος Σχεδίαση κυκλωμάτων με VHDL: 1o μέρος Γ. Δημητρακόπουλος Το πρώτο σας κύκλωμα Τα ψηφιακά κυκλώματα είναι μια συλλογή από λογικές πύλες και ακολουθιακά στοιχεία αποθήκευσης (ή συγχρονισμού) όπως τα flip-flops.

Διαβάστε περισσότερα

(ζηποθοπμή), (πςζμόρ

(ζηποθοπμή), (πςζμόρ 3ωρο ΔΘΓΩΜΘΣΛ ΣΤΗ ΦΥΣΘΙΗ ΙΤΕΥΘΥΜΣΗΣ Γ ΚΥΙΕΘΞΥ ΕΝΕΤΖΞΛΕΜΗ ΥΚΗ: Ληχανική Στερεού Σώματος ΘΕΜ 1 ο :. Για να απανηήζεηε ζηιρ παπακάηυ επυηήζειρ πολλαπλήρ επιλογήρ, απκεί να γπάτεηε ζηο θύλλο απανηήζευν ηον

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Συνδυαστικών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL (Very high

Διαβάστε περισσότερα

ΠΑΡΑΡΣΗΜΑ Ι Τποχρεωτικές θέσεις ανά τύπο Διασάφησης Εξαγωγής/Λογιστικής Εγγραφής A,D B,E C,F Υ,Τ,Ζ

ΠΑΡΑΡΣΗΜΑ Ι Τποχρεωτικές θέσεις ανά τύπο Διασάφησης Εξαγωγής/Λογιστικής Εγγραφής A,D B,E C,F Υ,Τ,Ζ ΠΑΡΑΡΣΗΜΑ Ι Τποχρεωτικές θέσεις ανά τύπο Διασάφησης Εξαγωγής/Λογιστικής Εγγραφής Δ/ΘΑ ΤΝΗΘΗ ΕΛΛΘΠΗ ΑΠΛ/ΜΕΝΗ ΤΜΠΛ/ΣΘΚΗ- ΑΝΑΚ/ΣΘΚΗ ΓΝΩΣΟΠΟΘΗ ΗΛΟΓΘΣΘΚΗ ΕΓΓΡΑΦΗ (R) ΣΤΠΟ Δ/Η A,D B,E C,F Υ,Τ,Ζ 1 (α,β) 1 (α,β)

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο VHDL (revisited)

Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο VHDL (revisited) ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2007 VHDL για Σχεδιασμό Συνδυαστικών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

12. Ηζσύει : 0 θ,όπος θ η γυνία δςο μη μηδενικών διανςζμάηυν.

12. Ηζσύει : 0 θ,όπος θ η γυνία δςο μη μηδενικών διανςζμάηυν. Α ΔΡΩΣΖΔΗ ΚΛΔΗΣΟΤ ΣΤΠΟΤ 1 Ηζσύει : 0 ι κάθε διάνςζμ Ηζσύει : ΑΒ = ΧΒ - ΧΑ 3 Ηζσύει : ΑΒ - BΑ 0,ι διθοπεηικά ζημεί Α,Β 4 Ηζσύει : ΑΒ 0, ι διθοπεηικά ζημεί Α,Β,Γ,Γ 5 Ηζσύει : 6 Ηζσύει : // 7 Ηζσύει : λ λ

Διαβάστε περισσότερα

nkavv@physics.auth.gr

nkavv@physics.auth.gr Γλώσσες Περιγραφής Υλικού Εισαγωγή στην VHDL Νικόλαος Καββαδίας nkavv@physics.auth.gr 17 Μαρτίου 2009 Αντικείμενο του μαθήματος CST256: Γλώσσες Περιγραφής Υλικού Επιμέρους στόχοι του μαθήματος Σχεδιασμός

Διαβάστε περισσότερα

ΠΑΝΔΛΛΑΓΗΚΔ ΔΞΔΣΑΔΗ Γ ΣΑΞΖ ΖΜΔΡΖΗΟΤ ΓΔΝΗΚΟΤ ΛΤΚΔΗΟΤ ΓΔΤΣΔΡΑ 30 ΜΑΗΟΤ 2016 ΔΞΔΣΑΕΟΜΔΝΟ ΜΑΘΖΜΑ: ΥΖΜΔΗΑ ΠΡΟΑΝΑΣΟΛΗΜΟΤ (ΠΑΛΑΗΟ ΤΣΖΜΑ)

ΠΑΝΔΛΛΑΓΗΚΔ ΔΞΔΣΑΔΗ Γ ΣΑΞΖ ΖΜΔΡΖΗΟΤ ΓΔΝΗΚΟΤ ΛΤΚΔΗΟΤ ΓΔΤΣΔΡΑ 30 ΜΑΗΟΤ 2016 ΔΞΔΣΑΕΟΜΔΝΟ ΜΑΘΖΜΑ: ΥΖΜΔΗΑ ΠΡΟΑΝΑΣΟΛΗΜΟΤ (ΠΑΛΑΗΟ ΤΣΖΜΑ) www.romvos.edu.gr ΠΑΝΔΛΛΑΓΗΚΔ ΔΞΔΣΑΔΗ Γ ΣΑΞΖ ΖΜΔΡΖΗΟΤ ΓΔΝΗΚΟΤ ΛΤΚΔΗΟΤ ΓΔΤΣΔΡΑ 0 ΜΑΗΟΤ 016 ΔΞΔΣΑΕΟΜΔΝΟ ΜΑΘΖΜΑ: ΥΖΜΔΗΑ ΠΡΟΑΝΑΣΟΛΗΜΟΤ (ΠΑΛΑΗΟ ΤΣΖΜΑ) ΘΔΜΑ Α Α1. Η ζυζηή απάνηηζη είναι ηο (β). Α. Η ζυζηή απάνηηζη

Διαβάστε περισσότερα

Μετρητής Ριπής ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ. Αναφορά 9 ης. εργαστηριακής άσκησης: ΑΦΡΟΔΙΤΗ ΤΟΥΦΑ Α.Μ.:2024201100032

Μετρητής Ριπής ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ. Αναφορά 9 ης. εργαστηριακής άσκησης: ΑΦΡΟΔΙΤΗ ΤΟΥΦΑ Α.Μ.:2024201100032 ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΣΧΟΛΗ ΟΙΚΟΝΟΜΙΑΣ, ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Αναφορά 9 ης εργαστηριακής άσκησης: Μετρητής Ριπής ΑΦΡΟΔΙΤΗ

Διαβάστε περισσότερα

ΗΥ-100 Ειζαγωγή ζηην Επιζηήμη Υπολογιζηών

ΗΥ-100 Ειζαγωγή ζηην Επιζηήμη Υπολογιζηών Πανεπιζηήμιο Κπήηηρ Τμήμα Επιζηήμηρ Υπολογιζηών www.csd.uoc.gr Επγαζηήπιο Υπηπεζιών Μεηαζσημαηιζμού www.tsl.gr ΗΥ-100 Ειζαγωγή ζηην Επιζηήμη Υπολογιζηών Ππώηη Διάλεξη Διαδικασία μαθήματος, Εισαγωγή στην

Διαβάστε περισσότερα

ΥΔΣ.: Y.A. Τπ. Παιδείαρ Γ.Β.Μ.Θ. με απιθμό ππωη. 118355/Γ3/30-9-2010

ΥΔΣ.: Y.A. Τπ. Παιδείαρ Γ.Β.Μ.Θ. με απιθμό ππωη. 118355/Γ3/30-9-2010 1 ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΣΙΑ ΤΠΟΤΡΓΕΙΟ ΠΑΙΔΕΙΑ ΔΙΑ ΒΙΟΤ ΜΑΘΗΗ ΚΑΙ ΘΡΗΚΕΤΜΑΣΩΝ ΠΕΡΙΦΕΡΕΙΑΚΟ ΕΠΙΜΟΡΦΩΣΙΚΟ ΚΕΝΣΡΟ (Π.Ε.Κ.) ΠΕΙΡΑΙΑ Σασ. Γ/νζη: Αζκληπιού & Παπαζηπάηος 14 Σασ. Κώδικαρ: 185 45 Πειπαιάρ Ηλεκ. Γ/νζη:

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Πανεπιστήμιο Πατρών Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων (VLSI) ΙΙ Εισαγωγή στη VHDL και το Εργαλείο

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΜΟΣ ΚΥΚΛΩΜΑΤΩΝ ΠΑΡΑΜΕΤΡΙΚΗΣ ΜΕΤΑΒΟΛΗΣ ΣΥΧΝΟΤΗΤΑΣ ΚΑΙ DUTY CYCLE ΠΑΛΜΟΥ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΣΧΕΔΙΑΣΜΟΣ ΚΥΚΛΩΜΑΤΩΝ ΠΑΡΑΜΕΤΡΙΚΗΣ ΜΕΤΑΒΟΛΗΣ ΣΥΧΝΟΤΗΤΑΣ ΚΑΙ DUTY CYCLE ΠΑΛΜΟΥ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΦΥΣΙΚΗΣ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ ΚΑΙ ΗΛ. ΥΠΟΛΟΓΙΣΤΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΣΧΕΔΙΑΣΜΟΣ ΚΥΚΛΩΜΑΤΩΝ ΠΑΡΑΜΕΤΡΙΚΗΣ ΜΕΤΑΒΟΛΗΣ ΣΥΧΝΟΤΗΤΑΣ ΚΑΙ DUTY CYCLE

Διαβάστε περισσότερα

Introduction to IP Cores

Introduction to IP Cores Introduction to IP Cores Part 1: Digital Design -- Using IP Cores to Simplify Design Στον κόσµο του ψηφιακού σχεδίου, µπορούµε να χρησιµοποιήσουµε τις γλώσσες περιγραφής υλικού για να περιγράψουµε σύνθετες

Διαβάστε περισσότερα

Καταχωρητές και Μετρητές

Καταχωρητές και Μετρητές HMY 211-2007 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ Καταχωρητές και Μετρητές Σχεδιασμός ενός Οικουμενικού Καταχωρητή Ολισθησης, Μετρητή Δακτυλίου και Μετρητή BCD. Εισαγωγή

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 1 η Εργαστηριακή Άσκηση Εισαγωγή στη VHDL και στο εργαλείο Modelsim 1 Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons

Διαβάστε περισσότερα

Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900

Διαβάστε περισσότερα

ΘΕΜΑ B Β.1 Μονάδες 4 Μονάδες 8 Β.2 Μονάδες 3 Μονάδες 3 Μονάδες 2 Μονάδες 5

ΘΕΜΑ B Β.1 Μονάδες 4 Μονάδες 8 Β.2 Μονάδες 3 Μονάδες 3 Μονάδες 2 Μονάδες 5 ΘΕΜΑ B Β.1 Οι μαθητές πραγματοποιούν στο εργαστήριο της φυσικής ένα ηλεκτρικό κύκλωμα που περιλαμβάνει στη σειρά ένα διακόπτη, ένα λαμπτήρα και έναν ωμικό αντιστάτη άγνωστης αντίστασης R 1, συνδεδεμένα

Διαβάστε περισσότερα

ιδάζκων: ηµήηπηρ Εεϊναλιπούπ

ιδάζκων: ηµήηπηρ Εεϊναλιπούπ Click to edit Master title style ιάλεξη 25: Βπασύηεπα Μονοπάηια ζε πάθοςρ Σηην ενόηηηα αςηή θα μελεηηθούν ηα εξήρ επιμέποςρ θέμαηα: Βρατύτερα Μονοπάτια σε γράυοσς Ο αλγόριθμος Dijkstra για εύρεση της βρατύτερης

Διαβάστε περισσότερα

Σχεδίαση κυκλωμάτων με VHDL: 2o μέρος

Σχεδίαση κυκλωμάτων με VHDL: 2o μέρος Σχεδίαση κυκλωμάτων με VHDL: 2o μέρος Γ. Δημητρακόπουλος Περιγραφή κυκλωμάτων με προγραμματιστικές δομές υψηλού επιπέδου Κύριος στόχος των γλωσσών περιγραφής υλικού είναι να απαλλάξουν το σχεδιαστή από

Διαβάστε περισσότερα

ΘΔΜΑ: Έγθξησε Καταιόγνπ θατάιιειωλ Βηβιίωλ Γεξκαληθήο γηα τηο Δ θαη Σ τάμεηο τνπ Γεκντηθνύ ρνιείνπ

ΘΔΜΑ: Έγθξησε Καταιόγνπ θατάιιειωλ Βηβιίωλ Γεξκαληθήο γηα τηο Δ θαη Σ τάμεηο τνπ Γεκντηθνύ ρνιείνπ ΔΛΛΗΝΙΚΗ ΓΗΜΟΚΡΑΣΙΑ ΤΠΟΤΡΓΔΙΟ ΠΑΙΓΔΙΑ ΚΑΙ ΘΡΗΚΔΤΜΑΣΩΝ ΠΟΛΙΣΙΜΟΤ ΚΑΙ ΑΘΛΗΣΙΜΟΤ ----- ΔΝΙΑΙΟ ΓΙΟΙΚΗΣΙΚΟ ΣΟΜΔΑ ΠΡΩΣΟΒΑΘΜΙΑ ΚΑΙ ΓΔΤΣΔΡΟΒΑΘΜΙΑ ΔΚΠΑΙΓΔΤΗ ΓΙΔΤΘΤΝΗ ΠΟΤΓΩΝ ΠΡΩΣΟΒΑΘΜΙΑ Κοιν.: ΔΚΠΑΙΓΔΤΗ ΣΜΗΜΑ Α

Διαβάστε περισσότερα

Δίλεηαη όηη ζηα ηδαληθά κνλναηνκηθά αέξηα C v = θαη όηη ln 5 1.6

Δίλεηαη όηη ζηα ηδαληθά κνλναηνκηθά αέξηα C v = θαη όηη ln 5 1.6 ΘΕΜΑ Δ Πνζόηεηα ηδαληθνύ αέξηνπ ίζε κε /R ml, βξίζθεηαη αξρηθά ζε θαηάζηαζε ηζνξξνπίαο ζηελ νπνία έρεη πίεζε 10 N/m θαη ζεξκνθξαζία 100 Κ. Τν αέξην πθίζηαηαη ηηο παξαθάησ αληηζηξεπηέο κεηαβνιέο: Θεξκαίλεηαη

Διαβάστε περισσότερα

Σχεδίαση και υλοποίηση σε FPGA παράλληλης επεξεργασίας μετασχηματισμού Fourier με τεχνική in-place

Σχεδίαση και υλοποίηση σε FPGA παράλληλης επεξεργασίας μετασχηματισμού Fourier με τεχνική in-place ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΦΥΣΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ Σχεδίαση και υλοποίηση σε FPGA παράλληλης επεξεργασίας μετασχηματισμού Fourier με τεχνική in-place Ελισσαίος

Διαβάστε περισσότερα

Εφαρμοσμένη Οπτική. Κεφάλαιο 2. Χρήσιμα διαγράμματα-σχήματα (συμπληρωματικά. των σημειώσεων)

Εφαρμοσμένη Οπτική. Κεφάλαιο 2. Χρήσιμα διαγράμματα-σχήματα (συμπληρωματικά. των σημειώσεων) Εφαρμοσμένη Οπτική Κεφάλαιο 2 Χρήση πινάκων στην παραξονική οπτική Χρήσιμα διαγράμματα-σχήματα (συμπληρωματικά των σημειώσεων) Κύρια σημεία του μαθήματος Παχύς φακός Χαρακτηριστικά σημεία χαρακτηριστικά

Διαβάστε περισσότερα

Σχεδιασµός Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

Σχεδιασµός Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL) Σχεδιασµός Συστηµάτων µε VHDL (Peter Ashenden, The Students Guide to VHDL) ιαδικασία Σχεδιασµού Μοντέλο VHDL VHDL code netlist Σύνθεση Layout VHDL netlist VHDL code Εξοµοίωση SDF & netlist GDSII file Ανάπτυξη

Διαβάστε περισσότερα

ΑΝΑΡΣΗΣΕΑ ΣΟ ΔΙΑΔΙΚΣΤΟ ΕΞ. ΕΠΕΙΓΟΝ ΑΤΘΗΜΕΡΟΝ ΝΑ ΣΤΑΛΕΙ ΚΑΙ ΜΕ Ε-ΜΑIL ΔΛΛΖΝΗΚΖ ΓΖΜΟΚΡΑΣΗΑ ΤΠΟΤΡΓΔΗΟ ΟΗΚΟΝΟΜΗΚΩΝ. Αθήνα, 21 Μαΐος 2015

ΑΝΑΡΣΗΣΕΑ ΣΟ ΔΙΑΔΙΚΣΤΟ ΕΞ. ΕΠΕΙΓΟΝ ΑΤΘΗΜΕΡΟΝ ΝΑ ΣΤΑΛΕΙ ΚΑΙ ΜΕ Ε-ΜΑIL ΔΛΛΖΝΗΚΖ ΓΖΜΟΚΡΑΣΗΑ ΤΠΟΤΡΓΔΗΟ ΟΗΚΟΝΟΜΗΚΩΝ. Αθήνα, 21 Μαΐος 2015 ΑΝΑΡΣΗΣΕΑ ΣΟ ΔΙΑΔΙΚΣΤΟ ΕΞ. ΕΠΕΙΓΟΝ ΑΤΘΗΜΕΡΟΝ ΝΑ ΣΤΑΛΕΙ ΚΑΙ ΜΕ Ε-ΜΑIL ΔΛΛΖΝΗΚΖ ΓΖΜΟΚΡΑΣΗΑ ΤΠΟΤΡΓΔΗΟ ΟΗΚΟΝΟΜΗΚΩΝ ΓΔΝΗΚΖ ΓΡΑΜΜΑΣΔΗΑ ΓΖΜΟΗΩΝ ΔΟΓΩΝ Αθήνα, 21 Μαΐος 2015 ΠΟΛ : 1108 1. ΓΔΝΗΚΖ ΓΗΔΤΘΤΝΖ ΦΟΡΟΛΟΓΗΚΖ

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Τµήµα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ενσωματωμένα Συστήματα Ενότητα 3: Η γλώσσα περιγραφής υλικού VHDL Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Αριστοτέλειο Πανεπιστήµιο Θεσσαλονίκης. Τµήµα Πληροφορικής

Αριστοτέλειο Πανεπιστήµιο Θεσσαλονίκης. Τµήµα Πληροφορικής Αριστοτέλειο Πανεπιστήµιο Θεσσαλονίκης Τµήµα Πληροφορικής Πτυχιακή εργασία Χατζηπαρασκεύα Αρτεµισία Α.Μ 819 Ανάπτυξη Εκπαιδευτικής Εφαρµογής µε αντικείµενο τα Ψηφιακά Συστήµατα Επιβλέπων: Αναπληρωτής Καθηγητής

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗ ΘΕΩΡΙΑ ΣΗΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ ΜΕΤΑΣΦΗΜΑΤΙΣΜΟΣ-Z

ΕΙΣΑΓΩΓΗ ΣΤΗ ΘΕΩΡΙΑ ΣΗΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ ΜΕΤΑΣΦΗΜΑΤΙΣΜΟΣ-Z ΕΙΣΑΓΩΓΗ ΣΤΗ ΘΕΩΡΙΑ ΣΗΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ ΜΕΤΑΣΦΗΜΑΤΙΣΜΟΣ-Z Μεηαζσημαηιζμόρ - Ιδιόηηηες Μεηαζτημαηιζμού- Γπαμμικόηηηα Υπονική Ολίζθηζη Κλιμάκυζη ζηο Επίπεδο- Παπαγώγιζη ςνέλιξη ζηο Πεδίο ηος Υπόνος Καηοπηπιζμόρ

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα VHDL

Εισαγωγή στη γλώσσα VHDL (document version 1.2) Ιωάννης Α. Καλόµοιρος Εισαγωγή στη γλώσσα VHDL Τεχνολογικό Εκπαιδευτικό Ίδρυµα Σερρών, Τµήµα Πληροφορικής και Επικοινωνιών, 2012 Το σύγγραµµα αυτό προορίζεται αποκλειστικά για χρήση

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα