Περιεχόμενα. Γ Τα βασικά της λογικής σχεδίασης Δ Αντιστοίχιση του ελέγχου στο υλικό Π Α Ρ Α Ρ Τ Η Μ Α Τ Α

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Περιεχόμενα. Γ Τα βασικά της λογικής σχεδίασης Δ Αντιστοίχιση του ελέγχου στο υλικό Π Α Ρ Α Ρ Τ Η Μ Α Τ Α"

Transcript

1

2

3 Περιεχόμενα Π Α Ρ Α Ρ Τ Η Μ Α Τ Α Γ Τα βασικά της λογικής σχεδίασης...12 Γ.1 Εισαγωγή Γ.2 Πύλες, πίνακες αληθείας, και λογικές εξισώσεις Γ.3 Συνδυαστική λογική Γ.4 Χρήση μιας γλώσσας περιγραφής υλικού Γ.5 Κατασκευή βασικής Αριθμητικής και Λογικής Μονάδας Γ.6 Ταχύτερη πρόσθεση: πρόβλεψη κρατουμένου Γ.7 Ρολόγια Γ.8 Στοιχεία μνήμης: φλιπ-φλοπ, κυκλώματα μανδάλωσης, και καταχωρητές Γ.9 Στοιχεία μνήμης: SRAM και DRAM Γ.10 Μηχανές πεπερασμένης κατάστασης Γ.11 Μεθοδολογίες χρονισμού Γ.12 Επιτόπου προγραμματίσιμες συσκευές Γ.13 Συμπερασματικές παρατηρήσεις Γ.14 Ασκήσεις Δ Αντιστοίχιση του ελέγχου στο υλικό Δ.1 Εισαγωγή Δ.2 Υλοποίηση συνδυαστικών μονάδων ελέγχου Δ.3 Υλοποίηση ελέγχου με μηχανή πεπερασμένης κατάστασης Δ.4 Υλοποίηση της συνάρτησης επόμενης κατάστασης με έναν ακολουθητή Δ.5 Μετάφραση μικροπρογράμματος σε υλικό Δ.6 Συμπερασματικές παρατηρήσεις Δ.7 Ασκήσεις

4 8 Περιεχόμενα Ε ΣΤ 1 Μια έρευνα αρχιτεκτονικών RISC για επιτραπέζιους υπολογιστές, διακομιστές, και ενσωματωμένους υπολογιστές Ε.1 Εισαγωγή Ε.2 Τρόποι διευθυνσιοδότησης και μορφές εντολών Ε.3 Εντολές: το υποσύνολο πυρήνα τού MIPS Ε.4 Εντολές: επεκτάσεις πολυμέσων επιτραπέζιων και διακομιστών RISC Ε.5 Εντολές: επεκτάσεις ψηφιακής επεξεργασίας σήματος σε ενσωματωμένους RISC Ε.6 Εντολές: συνήθεις επεκτάσεις τού πυρήνα MIPS Ε.7 Εντολές αποκλειστικές στην αρχιτεκτονική MIPS Ε.8 Εντολές αποκλειστικές στην αρχιτεκτονική Alpha Ε.9 Εντολές αποκλειστικές στην αρχιτεκτονική SPARC v Ε.10 Εντολές αποκλειστικές στην αρχιτεκτονική PowerPC Ε.11 Εντολές αποκλειστικές στην αρχιτεκτονική PA-RISC Ε.12 Εντολές αποκλειστικές στην αρχιτεκτονική ARM Ε.13 Εντολές αποκλειστικές στην αρχιτεκτονική Thumb Ε.14 Εντολές αποκλειστικές στην αρχιτεκτονική SuperH Ε.15 Εντολές αποκλειστικές στην αρχιτεκτονική M32R Ε.16 Εντολές αποκλειστικές στην αρχιτεκτονική MIPS Ε.17 Συμπερασματικές παρατηρήσεις Πρόσθετες ενότητες «Ιστορική προοπτική και πρόσθετες πηγές» κεφαλαίων Α τόμου Ιστορική προοπτική και πρόσθετες πηγές Ιστορική προοπτική και πρόσθετες πηγές Ιστορική προοπτική και πρόσθετες πηγές Ιστορική προοπτική και πρόσθετες πηγές Ιστορική προοπτική και πρόσθετες πηγές Ιστορική προοπτική και πρόσθετες πηγές Ιστορική προοπτική και πρόσθετες πηγές A.11 Ιστορική προοπτική και πρόσθετες πηγές...284

5 Περιεχόμενα 9 Ζ Πρόσθετες ενότητες «Προχωρημένα θέματα» κεφαλαίων Α τόμου Προχωρημένο θέμα: μεταγλώττιση της C και διερμηνεία της Java Προχωρημένο θέμα: εισαγωγή στην ψηφιακή σχεδίαση με τη χρήση μιας γλώσσας σχεδίασης υλικού για την περιγραφή και τη μοντελοποίηση μιας διοχέτευσης, και περισσότερα παραδείγματα διοχέτευσης Προχωρημένο θέμα: υλοποίηση ελεγκτών κρυφής μνήμης Προχωρημένο θέμα: δίκτυα Γλωσσάρι Λεξικό όρων Ευρετήριο

6 12 Γ.1 Εισαγωγή Π Α Ρ Α Ρ Τ Η Μ Α Τα βασικά της λογικής σχεδίασης Πάντα αγαπούσα αυτή τη λέξη, Boolean. Claude Shannon IEEE Spectrum, Απρίλιος 1992 (Η μεταπτυχιακή διατριβή τού Shannon έδειξε ότι η άλγεβρα που εφευρέθηκε από τον George Boole το 19ο αιώνα μπορούσε να αναπαραστήσει τους μηχανισμούς των ηλεκτρικών διακοπτών.)

7 ΠΕΡΙΕΧΟΜΕΝΑ Γ.1 Εισαγωγή 14 Γ.2 Πύλες, πίνακες αληθείας, και λογικές εξισώσεις 15 Γ.3 Συνδυαστική λογική 19 Γ.4 Χρήση μιας γλώσσας περιγραφής υλικού 31 Γ.5 Κατασκευή βασικής Αριθμητικής και Λογικής Μονάδας 38 Γ.6 Ταχύτερη πρόσθεση: πρόβλεψη κρατουμένου 50 Γ.7 Ρολόγια 60 Γ.8 Στοιχεία μνήμης: φλιπ-φλοπ, κυκλώματα μανδάλωσης, και καταχωρητές 62 Γ.9 Στοιχεία μνήμης: SRAM και DRAM 71 Γ.10 Μηχανές πεπερασμένης κατάστασης 82 Γ.11 Μεθοδολογίες χρονισμού 87 Γ.12 Επιτόπου προγραμματίσιμες συσκευές 93 Γ.13 Συμπερασματικές παρατηρήσεις 95 Γ.14 Ασκήσεις 96

8 14 Παράρτημα Γ Τα βασικά της λογικής σχεδίασης Γ.1 Εισαγωγή Αυτό το παράρτημα παρέχει μια σύντομη εξέταση των αρχών της λογικής σχεδίασης. Δεν μπορεί να υποκαταστήσει το μάθημα της λογικής σχεδίασης ούτε θα σας επιτρέψει να σχεδιάσετε μεγάλα λογικά συστήματα που να λειτουργούν. Αν όμως έχετε λίγες ή καθόλου γνώσεις σχετικά με τη λογική σχεδίαση, αυτό το παράρτημα θα σας δώσει ένα σημαντικό υπόβαθρο για την κατανόηση όλου του υλικού αυτού του βιβλίου. Επιπλέον, αν θέλετε να καταλάβετε μερικά από τα κίνητρα πίσω από τον τρόπο με τον οποίο υλοποιούνται οι υπολογιστές, αυτό το υλικό θα εξυπηρετήσει ως μια χρήσιμη εισαγωγή. Αν η περιέργειά σας εξαφθεί αλλά δεν ικανοποιηθεί από αυτό το παράρτημα, η βιβλιογραφία στο τέλος παρέχει διάφορες επιπλέον πηγές πληροφοριών. Η Ενότητα Γ.2 εισάγει τα βασικά δομικά στοιχεία τής λογικής, δηλαδή τις πύλες (gates). Η Ενότητα Γ.3 χρησιμοποιεί αυτά τα δομικά στοιχεία για την κατασκευή απλών συνδυαστικών (combinational) λογικών συστημάτων, τα οποία δεν περιέχουν μνήμη. Αν έχετε ήδη ασχοληθεί με λογικά ή ψηφιακά συστήματα, πιθανόν έχετε κάποια οικειότητα με τις πληροφορίες που περιέχονται σε αυτές τις δύο πρώτες ενότητες. Η Ενότητα Γ.5 δείχνει πώς να χρησιμοποιήσετε τις έννοιες των Ενοτήτων Γ.2 και Γ.3 στη σχεδίαση μιας ALU για τον επεξεργαστή MIPS. Η Ενότητα Γ.6 δείχνει πώς θα κατασκευάσετε ένα γρήγορο αθροιστή, και μπορείτε να την παραλείψετε χωρίς συνέπειες αν δεν ενδιαφέρεστε γι αυτό το αντικείμενο. Η Ενότητα Γ.7 είναι μια σύντομη εισαγωγή στο θέμα του χρονισμού (clocking), ο οποίος είναι απαραίτητος για την εξέταση του τρόπου με τον οποίο δουλεύουν τα στοιχεία μνήμης. Η Ενότητα Γ.8 παρουσιάζει τα στοιχεία τής μνήμης, ενώ η Ενότητα Γ.9 επεκτείνει το θέμα εστιάζοντας στις μνήμες τυχαίας προσπέλασης περιγράφει τόσο τα χαρακτηριστικά που είναι σημαντικά για την κατανόηση του τρόπου με τον οποίο χρησιμοποιούνται στο Κεφάλαιο 4, όσο και το υπόβαθρο πολλών από τις πλευρές τής σχεδίασης ιεραρχιών μνήμης στο Κεφάλαιο 5. Η Ενότητα Γ.10 περιγράφει τη σχεδίαση και τη χρήση των μηχανών πεπερασμένης κατάστασης, οι οποίες είναι μπλοκ ακολουθιακής λογικής. Αν έχετε την πρόθεση να διαβάσετε το Παράρτημα Δ, θα πρέπει να έχετε κατανοήσει σε βάθος το υλικό των Ενοτήτων Γ.2 έως Γ.10. Αν όμως σκοπεύετε να διαβάσετε μόνο το υλικό για τον έλεγχο στο Κεφάλαιο 4, μπορείτε να περάσετε γρήγορα τα παραρτήματα, αλλά πρέπει να έχετε κάποια οικειότητα με όλο το υλικό εκτός της Ενότητας Γ.11. Η Ενότητα Γ.11 είναι γι αυτούς που θέλουν μια βαθύτερη κατανόηση των μεθοδολογιών χρονισμού. Εξηγεί τα βασικά του τρόπου που δουλεύει ο ακμοπυροδοτούμενος χρονισμός (edge-triggered clocking), εισάγει μια άλλη μέθοδο χρονισμού, και περιγράφει σύντομα το πρόβλημα του συγχρονισμού των ασύγχρονων εισόδων.

9 Γ.2 Πύλες, πίνακες αληθείας, και λογικές εξισώσεις 15 Σε ολόκληρο αυτό το παράρτημα, όπου κρίναμε κατάλληλο, συμπεριλάβαμε τμήματα κώδικα Verilog για να δείξουμε πώς μπορεί να αναπαρασταθεί η λογική στη Verilog, την οποία παρουσιάζουμε στην Ενότητα Γ.4. Ένα πιο εκτεταμένο και πλήρες εκπαιδευτικό βοήθημα της Verilog (στα αγγλικά) υπάρχει στην ιστοσελίδα του βιβλίου ( Γ.2 Πύλες, πίνακες αληθείας, και λογικές εξισώσεις Τα ηλεκτρονικά στο εσωτερικό ενός σύγχρονου υπολογιστή είναι ψηφιακά. Τα ψηφιακά ηλεκτρονικά λειτουργούν με δύο μόνον επίπεδα τάσης: μια υψηλή τάση και μια χαμηλή τάση. Όλες οι άλλες τιμές τάσης είναι προσωρινές και παρουσιάζονται κατά τη μετάβαση μεταξύ των δύο αυτών τιμών. (Όπως θα πούμε αργότερα σε αυτή την ενότητα, μια πιθανή παγίδα στην ψηφιακή σχεδίαση είναι η δειγματοληψία ενός σήματος όταν δεν είναι ούτε υψηλό ούτε χαμηλό.) Το γεγονός ότι οι υπολογιστές είναι ψηφιακοί είναι επίσης ένας βασικός λόγος για τον οποίο χρησιμοποιούν δυαδικούς αριθμούς, επειδή ένα δυαδικό σύστημα ταιριάζει με τη θεμελιώδη αφαίρεση (abstraction) που είναι εγγενής στα ηλεκτρονικά. Στις διάφορες οικογένειες λογικής, οι τιμές και οι σχέσεις ανάμεσα στα δύο επίπεδα τάσης διαφέρουν. Έτσι, αντί να αναφερόμαστε σε επίπεδα τάσης, μιλούμε για σήματα που είναι (λογικά) αληθή, ή 1, ή είναι ενεργοποιημένα (asserted) ή σήματα που είναι (λογικά) ψευδή, ή 0, ή απενεργοποιημένα (deasserted). Οι τιμές 0 και 1 ονομάζονται συμπληρώματα ή αντίστροφες η μία της άλλης. Τα λογικά μπλοκ κατατάσσονται σε έναν από δύο τύπους, ανάλογα με το αν περιέχουν μνήμη. Τα μπλοκ χωρίς μνήμη ονομάζονται συνδυαστικά (combinational) η έξοδος ενός συνδυαστικού μπλοκ εξαρτάται μόνον από την τρέχουσα είσοδο. Στα μπλοκ με μνήμη, οι έξοδοι μπορεί να εξαρτώνται τόσο από τις εισόδους όσο και από την τιμή που είναι αποθηκευμένη στη μνήμη, η οποία ονομάζεται κατάσταση (state) του λογικού μπλοκ. Σε αυτή και στην επόμενη ενότητα, θα επικεντρωθούμε μόνο στη συνδυαστική λογική (combinational logic). Μετά την εισαγωγή διαφορετικών στοιχείων μνήμης στην Ενότητα Γ.8, θα περιγράψουμε πώς σχεδιάζεται η ακολουθιακή λογική (sequential logic), η οποία είναι λογική που περιλαμβάνει κατάσταση. Πίνακες αληθείας Επειδή ένα μπλοκ συνδυαστικής λογικής δεν περιέχει μνήμη, μπορεί να καθοριστεί πλήρως με τον ορισμό των τιμών των εξόδων για κάθε πιθανό σύνολο τιμών των εισόδων. Μια τέτοια περιγραφή φυσιολογικά δίνεται με τη μορφή ενός πίνακα αληθείας (truth table). Για ένα λογικό μπλοκ με n εισόδους, υπάρχουν 2 n καταχωρίσεις στον πίνακα αληθείας, επειδή τόσοι είναι οι πιθανοί συνδυασμοί των τιμών εισόδου. Κάθε καταχώριση προσδιορίζει την τιμή όλων των εξόδων για το συγκεκριμένο συνδυασμό εισόδων. ενεργοποιημένο σήμα (asserted signal) Ένα σήμα που είναι (λογικά) αληθές, ή 1. απενεργοποιημένο σήμα (deasserted signal) Ένα σήμα που είναι (λογικά) ψευδές, ή 0. συνδυαστική λογική (combinational logic) Ένα σύστημα λογικής του οποίου τα μπλοκ δεν περιέχουν μνήμη και συνεπώς υπολογίζουν την ίδια έξοδο για την ίδια είσοδο. ακολουθιακή λογική (sequential logic) Μια ομάδα από λογικά στοιχεία που περιέχουν μνήμη και, συνεπώς, των οποίων η τιμή εξαρτάται από τις εισόδους καθώς επίσης και από τα τρέχοντα περιεχόμενα της μνήμης.

10 16 Παράρτημα Γ Τα βασικά της λογικής σχεδίασης Πίνακες αληθείας ΠΑΡΑΔΕΙΓΜΑ ΑΠΑΝΤΗΣΗ Θεωρήστε μια λογική συνάρτηση με τρεις εισόδους, A, B, και C, και τρεις εξόδους, D, E, και F. Η συνάρτηση ορίζεται ως εξής: η D είναι α- ληθής αν τουλάχιστον μία είσοδος είναι αληθής, η E είναι αληθής αν α- κριβώς δύο είσοδοι είναι αληθείς, και η F είναι αληθής μόνον αν και οι τρεις είσοδοι είναι αληθείς. Κατασκευάστε τον πίνακα αληθείας αυτής της συνάρτησης. Ο πίνακας αληθείας θα περιέχει 2 3 = 8 καταχωρίσεις. Είναι ο εξής: Είσοδοι Έξοδοι A B C D E F Οι πίνακες αληθείας μπορούν να περιγράψουν πλήρως οποιαδήποτε συνδυαστική λογική συνάρτηση ωστόσο, αυξάνονται σε μέγεθος γρήγορα και μπορεί να μην είναι εύκολο να κατανοηθούν. Μερικές φορές θέλουμε να κατασκευάσουμε μια λογική συνάρτηση που θα είναι 0 για πολλούς συνδυασμούς εισόδων, οπότε χρησιμοποιούμε μια συντομογραφία που καθορίζει μόνο τις καταχωρίσεις του πίνακα αληθείας για τις μη μηδενικές εξόδους. Αυτή η προσέγγιση χρησιμοποιείται στο Κεφάλαιο 4 και στο Παράρτημα Δ. Άλγεβρα Boole Μια άλλη προσέγγιση είναι να εκφράσουμε τη λογική συνάρτηση με λογικές εξισώσεις. Αυτό γίνεται με τη χρήση της άλγεβρας Boole (Boolean algebra, που πήρε το όνομά της από τον George Boole, ένα μαθηματικό του 19ου αιώνα). Στην άλγεβρα Boole, όλες οι μεταβλητές έχουν τιμές 0 και 1 και, στην τυπική σημειογραφία, υπάρχουν τρεις τελεστές: Ο τελεστής OR γράφεται +, όπως στην παράσταση A + B. Το αποτέλεσμα ενός τελεστή OR είναι 1 αν οποιαδήποτε από τις μεταβλητές είναι 1. Η πράξη (λειτουργία) OR ονομάζεται επίσης λογικό άθροισμα, αφού το αποτέλεσμά της είναι 1 αν οποιοσδήποτε τελεστέος είναι 1. Ο τελεστής AND γράφεται, όπως στην παράσταση Α Β. Το αποτέλεσμα ενός τελεστή AND είναι 1 μόνον αν και οι δύο είσοδοι είναι 1.

11 Γ.2 Πύλες, πίνακες αληθείας, και λογικές εξισώσεις 17 Ο τελεστής AND ονομάζεται επίσης και λογικό γινόμενο, αφού το αποτέλεσμά του είναι 1 μόνον όταν και οι δύο τελεστέοι είναι 1. Ο μονομελής τελεστής NOT γράφεται Ā. Το αποτέλεσμα ενός τελεστή NOT είναι 1 μόνον αν η είσοδος είναι 0. Η εφαρμογή του τελεστή NOT σε μια λογική τιμή έχει ως αποτέλεσμα την αντιστροφή ή άρνηση της τιμής (π.χ., αν η είσοδος είναι 0 η έξοδος είναι 1, και το αντίστροφο). Υπάρχουν διάφοροι νόμοι της άλγεβρας Boole που είναι χρήσιμοι στο χειρισμό λογικών εξισώσεων. Ο νόμος του ουδέτερου στοιχείου (identity law): Α + 0 = Α και Α 1 = Α. Ο νόμος του απορροφητικού στοιχείου (zero and one laws): Α 0 = 0 και Α + 1 = 1. Οι νόμοι του αντιστρόφου (inverse laws): Α + Ā = 1 και Α Ā = 0. Οι αντιμεταθετικοί νόμοι (commutative laws): Α + Β = Β + Α και Α Β = Β Α. Οι προσεταιριστικοί νόμοι (associative laws): Α + (Β + C) = (Α + Β) + C και Α (Β C) = (Α Β) C Οι επιμεριστικοί νόμοι (distributive laws): Α (Β + C) = (Α Β) + (Α C) και Α + (Β C) = (Α + Β) (Α + C) Επιπλέον, υπάρχουν ακόμα δύο χρήσιμα θεωρήματα, που ονομάζονται νόμοι του DeMorgan και εξετάζονται πιο αναλυτικά στις ασκήσεις. Κάθε σύνολο λογικών συναρτήσεων μπορεί να γραφεί ως μια σειρά εξισώσεων με μια έξοδο στο αριστερό μέρος κάθε εξίσωσης, και έναν τύπο που αποτελείται από μεταβλητές και τους τρεις παραπάνω τελεστές στο δεξιό μέρος. Λογικές εξισώσεις Δείξτε τις λογικές εξισώσεις για τις λογικές συναρτήσεις, D, E, και F, που περιγράφονται στο προηγούμενο παράδειγμα. Η εξίσωση για την D είναι: D = A + B + C Η F είναι εξίσου απλή: F = A B C Η E είναι λίγο πιο σύνθετη. Θεωρήστε ότι αποτελείται από δύο μέρη: τι πρέπει να είναι αληθές για να είναι η E αληθής (δύο από τις τρεις εισόδους πρέπει να είναι αληθείς), και τι δεν μπορεί να είναι αληθές (και οι τρεις δεν μπορούν να είναι αληθείς). Έτσι μπορούμε να γράψουμε την E ως εξής: ΠΑΡΑΔΕΙΓΜΑ ΑΠΑΝΤΗΣΗ

12 18 Παράρτημα Γ Τα βασικά της λογικής σχεδίασης E = (( A B) + ( A C) + ( B C)) ( A B C) Μπορούμε επίσης να καταλήξουμε στην E διαπιστώνοντας ότι η E είναι αληθής μόνον αν ακριβώς δύο από τις εισόδους της είναι αληθείς. Τότε μπορούμε να γράψουμε την E ως ένα OR των τριών δυνατών όρων που έχουν δύο αληθείς εισόδους και μία ψευδή: E = ( A B C) + ( A C B) + ( B C A) Η απόδειξη ότι αυτές οι δύο παραστάσεις είναι ισοδύναμες διερευνάται στις ασκήσεις. Στη Verilog, όποτε είναι δυνατόν, περιγράφουμε τη συνδυαστική λογική με την εντολή ανάθεσης τιμής assign, η οποία περιγράφεται με αρχή τη σελίδα 34. Μπορούμε να γράψουμε έναν ορισμό για την E χρησιμοποιώντας τον τελεστή αποκλειστικού OR της Verilog ως assign Ε = ~(A ^ B ^ C) & (A + B + C) που είναι ένας ακόμη τρόπος να αναπαρασταθεί αυτή η συνάρτηση οι D και F έχουν ακόμη απλούστερες αναπαραστάσεις, οι οποίες είναι ακριβώς όπως ο αντίστοιχος κώδικας τής γλώσσας C: D = A B C και F = A & B & C. πύλη (gate) Μια διάταξη που υλοποιεί βασικές λογικές συναρτήσεις όπως οι AND και OR. Πύλες Τα μπλοκ λογικής κατασκευάζονται από πύλες (gates) που υλοποιούν τις βασικές λογικές συναρτήσεις. Για παράδειγμα, μια πύλη AND (AND gate) υλοποιεί τη συνάρτηση AND, και μια πύλη OR (OR gate) υλοποιεί τη συνάρτηση OR. Αφού τόσο η AND όσο και η OR είναι αντιμεταθετικές και προσεταιριστικές, μια πύλη AND ή μια πύλη OR μπορούν να έχουν πολλές εισόδους, με την έξοδο να είναι ίση με το AND ή το OR όλων των εισόδων. Η λογική συνάρτηση NOT υλοποιείται με έναν αντιστροφέα (inverter) που έχει πάντα μία μόνον είσοδο. Η τυπική αναπαράσταση αυτών των τριών λογικών δομικών στοιχείων φαίνεται στην Εικόνα Γ.2.1. Αντί να σχεδιάζουμε τους αντιστροφείς απευθείας, μια συνηθισμένη πρακτική είναι να προσθέτουμε «φυσαλίδες» (bubbles) στις εισόδους ή την έξοδο μιας πύλης ώστε να προκαλέσουμε την αντιστροφή της λογικής τιμής αυτής της γραμμής εισόδου ή εξόδου. Για παράδειγμα, η Εικόνα Γ.2.2 δείχνει το λογικό διάγραμμα για τη συνάρτηση A + B, χρησιμοποιώντας ρητούς αντιστροφείς στα αριστερά, και εισόδους και εξόδους με φυσαλίδες στα δεξιά. ΕΙΚΟΝΑ Γ.2.1 Πρότυπο σχέδιο μιας πύλης AND, μιας πύλης OR, και ενός αντιστροφέα, από αριστερά προς τα δεξιά. Τα σήματα στα αριστερά κάθε συμβόλου είναι οι είσοδοι, ενώ η έξοδος εμφανίζεται δεξιά. Τόσο η πύλη AND όσο και η πύλη OR έχουν δύο εισόδους. Οι αντιστροφείς έχουν μία μόνον είσοδο.

13 Γ.3 Συνδυαστική λογική 19 ΕΙΚΟΝΑ Γ.2.2 Υλοποίηση της A+ B με λογικές πύλες, ρητούς αντιστροφείς στα αριστερά, και εισόδους και έξοδο με φυσαλίδες στα δεξιά. Αυτή η λογική συνάρτηση μπορεί να απλοποιηθεί στην A B ή Α & ~ Β σε Verilog. Οποιαδήποτε λογική συνάρτηση μπορεί να κατασκευαστεί με πύλες AND, πύλες OR, και αντιστροφείς αρκετές από τις ασκήσεις σάς δίνουν την ευκαιρία να δοκιμάσετε την υλοποίηση μερικών συνήθων λογικών συναρτήσεων με πύλες. Στην επόμενη ενότητα, θα δούμε πώς μπορεί να κατασκευαστεί μια υλοποίηση οποιασδήποτε λογικής συνάρτησης με βάση αυτές τις γνώσεις. Στην πραγματικότητα, όλες οι λογικές συναρτήσεις μπορούν να κατασκευαστούν μόνο με έναν τύπο λογικής πύλης, αν αυτή η πύλη αντιστραφεί. Οι δύο συνηθισμένες πύλες αντιστροφής ονομάζονται NOR και NAND και αντιστοιχούν σε ανεστραμμένες πύλες OR και AND, αντίστοιχα. Οι πύλες NOR και NAND ονομάζονται οικουμενικές (universal), αφού οποιαδήποτε λογική συνάρτηση μπορεί να κατασκευαστεί με έναν μόνο από αυτούς τους τύπους πύλης. Οι ασκήσεις διερευνούν αυτή την έννοια περαιτέρω. Είναι ισοδύναμες οι δύο λογικές παραστάσεις που ακολουθούν; Αν όχι, βρείτε ένα σύνολο τιμών των μεταβλητών για να δείξετε ότι δεν είναι: ( A BC ) + ( ACB ) + ( BC A) B ( AC + C A) πύλη NOR (NOR gate) Μια ανεστραμμένη πύλη OR. πύλη NAND (NAND gate) Μια ανεστραμμένη πύλη AND. Αυτοεξέταση Γ.3 Συνδυαστική λογική Σε αυτή την ενότητα, θα δούμε μερικά μεγαλύτερα δομικά στοιχεία λογικής που χρησιμοποιούμε σε μεγάλη έκταση, και θα εξετάσουμε τη σχεδίαση δομημένης λογικής που μπορεί να υλοποιηθεί αυτόματα από μια λογική ε- ξίσωση ή πίνακα αληθείας με τη βοήθεια ενός προγράμματος μετάφρασης. Τέλος, θα εξερευνήσουμε την έννοια μιας διάταξης (array) από λογικά μπλοκ. Αποκωδικοποιητές Ένα λογικό μπλοκ που θα χρησιμοποιήσουμε στην κατασκευή μεγαλύτερων συστατικών είναι ένας αποκωδικοποιητής (decoder). Ο πιο συνηθισμένος τύπος αποκωδικοποιητή έχει μία είσοδο των n bit και 2 n εξόδους, όπου μόνο μία έξοδος είναι ενεργοποιημένη για κάθε συνδυασμό εισόδων. Αυτός ο αποκωδικοποιητής μεταφράζει την είσοδο των n bit σε ένα σήμα που αντιστοιχεί στη δυαδική τιμή της εισόδου των n bit. Έτσι, οι έξοδοι είναι συνήθως αριθμημένες, ας πούμε, Out0, Out1,, Out2 n 1. Αν η τιμή της εισόδου αποκωδικοποιητής (decoder) Ένα λογικό μπλοκ που έχει μια είσοδο των n bit, και 2 n εξόδους όπου μόνο μία έξοδος είναι ενεργοποιημένη για κάθε συνδυασμό εισόδων.

14 20 Παράρτημα Γ Τα βασικά της λογικής σχεδίασης είναι i, τότε η Outi θα είναι αληθής και όλες οι άλλες έξοδοι θα είναι ψευδείς. Η Εικόνα Γ.3.1 δείχνει έναν αποκωδικοποιητή των 3 bit και τον πίνακα αληθείας. Αυτός ο αποκωδικοποιητής ονομάζεται αποκωδικοποιητής 3 σε 8 (3-to-8 decoder) επειδή υπάρχουν 3 είσοδοι και 8 (2 3 ) έξοδοι. Υπάρχει επίσης ένα λογικό στοιχείο που ονομάζεται κωδικοποιητής (encoder) και εκτελεί την αντίστροφη λειτουργία ενός αποκωδικοποιητή, παίρνοντας 2 n εισόδους και παράγοντας μία έξοδο των n bit. Είσοδοι Έξοδοι In2 In1 In0 Out7 Out6 Out5 Out4 Out3 Out2 Out1 Out β. Ο πίνακας αληθείας του αποκωδικοποιητή των 3 bit ΕΙΚΟΝΑ Γ.3.1 Ένας αποκωδικοποιητής των 3 bit έχει 3 εισόδους, που ονομάζονται In2, In1, και In0, και 2 3 = 8 εξόδους, που ονομάζονται Out0 έως Out7. Μόνον η έξοδος που αντιστοιχεί στη δυαδική τιμή της εισόδου είναι αληθής, όπως φαίνεται στον πίνακα αληθείας. Η ετικέτα 3 στην είσοδο του αποκωδικοποιητή λέει ότι το σήμα εισόδου έχει εύρος 3 bit. τιμή επιλογέα (selector value) Ονομάζεται επίσης τιμή ελέγχου (control value). Το σήμα ελέγχου που χρησιμοποιείται για να επιλέξει μία από τις τιμές εισόδου ενός πολυπλέκτη ως έξοδο του πολυπλέκτη. Πολυπλέκτες Μια βασική λογική συνάρτηση που χρησιμοποιούμε πολύ συχνά στο Κεφάλαιο 4 είναι ο πολυπλέκτης (multiplexor). Ο πολυπλέκτης θα ήταν πιο κατάλληλο να ονομάζεται επιλογέας (selector), αφού η έξοδός του είναι μία από τις εισόδους που επιλέγει ένα σήμα ελέγχου. Ας πάρουμε τον πολυπλέκτη δύο εισόδων. Το αριστερό μέρος της Εικόνας Γ.3.2. δείχνει ότι αυτός ο πολυπλέκτης έχει τρεις εισόδους: δύο τιμές δεδομένων και μία τιμή επιλογέα (selector value) ή τιμή ελέγχου (control value). Η τιμή του επιλογέα καθορίζει ποια από τις εισόδους γίνεται έξοδος. Μπορούμε να αναπαραστήσουμε τη λογική συνάρτηση την οποία υπολογίζει ένας πολυπλέκτης δύο εισόδων, που φαίνεται με τη μορφή πυλών στο δεξιό μέρος της Εικόνας Γ.3.2, ως C = ( A S) + ( B S). Μπορούν να δημιουργηθούν πολυπλέκτες με οποιονδήποτε αριθμό εισόδων δεδομένων. Όταν υπάρχουν μόνο δύο είσοδοι, ο επιλογέας είναι ένα μόνο σήμα που επιλέγει μια από τις εισόδους αν είναι αληθής (1) και την άλλη αν είναι ψευδής (0). Αν υπάρχουν n είσοδοι δεδομένων, θα χρειαστούν log 2n είσοδοι επιλογέα. Στην περίπτωση αυτή, ο πολυπλέκτης αποτελείται βασικά από τρία μέρη: 1. Έναν αποκωδικοποιητή που παράγει n σήματα, καθένα από τα οποία σηματοδοτεί μια διαφορετική τιμή εισόδου

15 Γ.3 Συνδυαστική λογική 21 ΕΙΚΟΝΑ Γ.3.2 Ένας πολυπλέκτης δύο εισόδων, στα αριστερά, και η υλοποίησή του με πύλες, στα δεξιά. Ο πολυπλέκτης έχει δύο εισόδους δεδομένων (A και B), που επισημαίνονται με 0 και 1, και μια είσοδο επιλογέα (S), καθώς επίσης και μια έξοδο C. Η υλοποίηση των πολυπλεκτών στη Verilog απαιτεί λίγη περισσότερη δουλειά, ειδικά όταν έχουν περισσότερες από δύο εισόδους. Θα δείξουμε πώς γίνεται αυτό από τη σελίδα 34 και μετά. 2. Μια διάταξη από n πύλες AND, κάθε μία από τις οποίες συνδυάζει μία από τις εισόδους με ένα σήμα από τον αποκωδικοποιητή 3. Μια μεγάλη πύλη OR που συνδυάζει τις εξόδους από τις πύλες AND Για τη συσχέτιση των εισόδων με τις τιμές τού επιλογέα, συνήθως δίνουμε αριθμητικές ετικέτες στις εισόδους δεδομένων (π.χ. 0, 1, 2, 3,..., n 1) και ερμηνεύουμε τις εισόδους του επιλογέα δεδομένων ως δυαδικό αριθμό. Μερικές φορές, χρησιμοποιούμε έναν πολυπλέκτη με μη κωδικοποιημένα σήματα επιλογέα. Οι πολυπλέκτες στη Verilog αναπαρίστανται εύκολα ως συνδυαστικά κυκλώματα με τη χρήση παραστάσεων if. Για μεγαλύτερους πολυπλέκτες, οι εντολές case είναι πιο βολικές αλλά πρέπει να προσέχετε ώστε να πραγματοποιείται σύνθεση συνδυαστικής λογικής. Διεπίπεδη λογική και PLA Όπως δείξαμε στην προηγούμενη ενότητα, κάθε λογική συνάρτηση μπορεί να υλοποιηθεί αποκλειστικά με συναρτήσεις AND, OR, και NOT. Για την ακρίβεια, ισχύει ένα ακόμα ισχυρότερο γεγονός. Κάθε λογική συνάρτηση μπορεί να γραφεί σε κανονική μορφή (canonical form), όπου κάθε είσοδος είναι είτε μια αληθής είτε μια συμπληρωματική μεταβλητή και υπάρχουν μόνο δύο επίπεδα πυλών ένα που είναι το AND και ένα άλλο που είναι το OR με μια πιθανή αντιστροφή στο τελικό άθροισμα. Μια τέτοια αναπαράσταση ονομάζεται διεπίπεδη αναπαράσταση (two-level representation) και υπάρχουν δύο μορφές, που ονομάζονται άθροισμα γινομένων (sum of products) και γινόμενο αθροισμάτων (product of sums). Μια αναπαράσταση αθροίσματος γινομένων είναι ένα λογικό άθροισμα (OR) από γινόμενα (όρους που χρησιμοποιούν τον τελεστή AND) ένα γινόμενο αθροισμάτων είναι απλώς το αντίθετο. Στο προηγούμενο παράδειγμά μας, είχαμε δύο εξισώσεις για την έξοδο E: άθροισμα γινομένων (sum of products) Μια μορφή λογικής αναπαράστασης που περιλαμβάνει ένα λογικό άθροισμα (OR) από γινόμενα (όρους που σχηματίζονται με έναν τελεστή AND). E = (( A B) + ( A C) + ( B C)) ( A B C) και

16 22 Παράρτημα Γ Τα βασικά της λογικής σχεδίασης E = ( A B C) + ( A C B) + ( B C A) Η δεύτερη αυτή εξίσωση είναι σε μια μορφή αθροίσματος γινομένων (sumof-products): έχει δύο επίπεδα λογικής και οι μόνες αντιστροφές εφαρμόζονται σε μεμονωμένες μεταβλητές. Η πρώτη εξίσωση έχει τρία επίπεδα λογικής. Επιπλέον ανάπτυξη: Μπορούμε επίσης να γράψουμε την E ως γινόμενο αθροισμάτων: E = ( A + B + C) ( A + C + B) ( B + C + A) Για να παραχθεί αυτή η μορφή, χρειάζεται να χρησιμοποιήσετε τα θεωρήματα του DeMorgan, που εξετάζονται στις ασκήσεις. Σε αυτό το βιβλίο χρησιμοποιούμε τη μορφή αθροίσματος γινομένων. Είναι εύκολο να δούμε ότι κάθε λογική συνάρτηση μπορεί να αναπαρασταθεί ως ένα άθροισμα γινομένων, κατασκευάζοντας μια τέτοια αναπαράσταση από τον πίνακα αληθείας της συνάρτησης. Κάθε καταχώριση του πίνακα αληθείας για την οποία η συνάρτηση είναι αληθής αντιστοιχεί σε έναν όρο γινομένου. Ο όρος γινομένου αποτελείται από ένα λογικό γινόμενο όλων των εισόδων ή των συμπληρωμάτων των εισόδων, ανάλογα με το αν στην καταχώριση της συγκεκριμένης μεταβλητής στον πίνακα αληθείας αντιστοιχεί 0 ή 1. Η λογική συνάρτηση είναι το λογικό άθροισμα των όρων γινομένου όπου η συνάρτηση είναι αληθής. Αυτό φαίνεται πιο εύκολα με ένα παράδειγμα. Άθροισμα γινομένων ΠΑΡΑΔΕΙΓΜΑ Δώστε την αναπαράσταση αθροίσματος γινομένων για τον παρακάτω πίνακα αληθείας της D. Είσοδοι Έξοδος A B C D ΑΠΑΝΤΗΣΗ Υπάρχουν τέσσερις όροι γινομένου, αφού η συνάρτηση είναι αληθής (1) για τέσσερις διαφορετικούς συνδυασμούς εισόδων. Αυτοί είναι:

17 Γ.3 Συνδυαστική λογική 23 A B C A B C A B C A B C Έτσι, μπορούμε να γράψουμε τη συνάρτηση D ως άθροισμα αυτών των όρων: D = ( A B C) + ( A B C) + ( A B C) + ( A B C) Σημειώστε ότι όρους στην εξίσωση δημιουργούν μόνον εκείνες οι καταχωρίσεις του πίνακα αληθείας για τις οποίες η συνάρτηση είναι αληθής. Μπορούμε να χρησιμοποιήσουμε αυτή τη σχέση μεταξύ του πίνακα α- ληθείας και μιας διεπίπεδης υλοποίησης για να δημιουργήσουμε μια υλοποίηση οποιουδήποτε συνόλου λογικών συναρτήσεων σε επίπεδο πυλών. Ένα σύνολο λογικών συναρτήσεων αντιστοιχεί σε έναν πίνακα αληθείας με πολλές στήλες εξόδων, όπως είδαμε στο παράδειγμα της σελίδας 16. Κάθε στήλη εξόδου αντιπροσωπεύει μια διαφορετική λογική συνάρτηση, η οποία μπορεί να κατασκευαστεί απευθείας από τον πίνακα αληθείας. Η αναπαράσταση αθροίσματος γινομένων αντιστοιχεί σε μια συνηθισμένη υλοποίηση δομημένης λογικής που ονομάζεται προγραμματίσιμος λογικός πίνακας (programmable logic array PLA). Ένα PLA διαθέτει ένα σύνολο εισόδων και αντίστοιχων συμπληρωματικών εισόδων (που μπορεί να υλοποιηθεί με ένα σύνολο από αντιστροφείς), και δύο στάδια λογικής. Το πρώτο στάδιο είναι μια διάταξη από πύλες AND οι οποίες σχηματίζουν ένα σύνολο από όρους γινομένου (που μερικές φορές ονομάζονται ελαχιστόροι minterms) κάθε όρος γινομένου μπορεί να αποτελείται από οποιεσδήποτε από τις εισόδους ή τα συμπληρώματά τους. Το δεύτερο στάδιο είναι μια διάταξη πυλών OR, κάθε μία από τις οποίες σχηματίζει ένα λογικό άθροισμα οποιουδήποτε αριθμού όρων γινομένου. Η Εικόνα Γ.3.3 δείχνει τη βασική μορφή ενός PLA. Ένα PLA μπορεί να υλοποιήσει απευθείας τον πίνακα αληθείας ενός συνόλου λογικών συναρτήσεων με πολλές εισόδους και εξόδους. Αφού κάθε καταχώριση στην οποία ο πίνακας αληθείας είναι αληθής απαιτεί έναν όρο γινομένου, θα υπάρχει μια αντίστοιχη γραμμή στο PLA. Κάθε έξοδος αντιστοιχεί σε μια πιθανή γραμμή από πύλες OR στο δεύτερο στάδιο. Ο αριθμός των πυλών OR αντιστοιχεί στον αριθμό των καταχωρίσεων του πίνακα αληθείας για τις οποίες η έξοδος είναι αληθής. Το συνολικό μέγεθος ενός PLA, σαν αυτό που βλέπετε στην Εικόνα Γ.3.3, είναι ίσο με το άθροισμα του μεγέθους της διάταξης των πυλών AND (που ονομάζεται επίπεδο AND AND plane) και του μεγέθους της διάταξης των πυλών OR (που ονομάζεται επίπεδο OR OR plane). Στην Εικόνα Γ.3.3, μπορούμε να δούμε ότι το μέγεθος της διάταξης των πυλών AND είναι ίσο με τον αριθμό των εισόδων επί τον αριθμό των διαφορετικών όρων γινομένου, και το μέγεθος της διάταξης των πυλών OR είναι ο αριθμός των εξόδων επί τον αριθμό των όρων γινομένου. προγραμματίσιμος λογικός πίνακας (programmable logic array PLA) Στοιχείο δομημένης λογικής που αποτελείται από ένα σύνολο εισόδων και αντίστοιχων συμπληρωματικών εισόδων και δύο στάδια λογικής: το πρώτο παράγει όρους γινομένου των εισόδων και των συμπληρωμάτων των εισόδων και το δεύτερο παράγει όρους αθροίσματος των όρων γινομένων. Έτσι, τα PLA υλοποιούν λογικές συναρτήσεις ως αθροίσματα γινομένων. ελαχιστόροι (minterms) Ονομάζονται επίσης όροι γινομένου. Ένα σύνολο από λογικές εισόδους που συνδέονται με σύζευξη (λειτουργίες AND) οι όροι γινομένου σχηματίζουν το πρώτο στάδιο λογικής του προγραμματίσιμου λογικού πίνακα (programmable logic array PLA).

18 24 Παράρτημα Γ Τα βασικά της λογικής σχεδίασης ΕΙΚΟΝΑ Γ.3.3 Η βασική μορφή ενός PLA αποτελείται από έναν πίνακα πυλών AND ακολουθούμενο από έναν πίνακα πυλών OR. Κάθε καταχώριση στον πίνακα των πυλών AND είναι ένας όρος γινομένου που αποτελείται από οποιονδήποτε αριθμό εισόδων ή συμπληρωματικών εισόδων. Κάθε καταχώριση στον πίνακα των πυλών OR είναι ένας όρος αθροίσματος που αποτελείται από οποιονδήποτε αριθμό αυτών των όρων γινομένου. Ένα PLA έχει δύο χαρακτηριστικά που του δίνουν τη δυνατότητα να α- ποτελεί έναν αποδοτικό τρόπο υλοποίησης ενός συνόλου συναρτήσεων. Πρώτον, μόνον οι καταχωρίσεις του πίνακα αληθείας που παράγουν μια αληθή τιμή για τουλάχιστον μία έξοδο συσχετίζονται με λογικές πύλες. Δεύτερον, κάθε διαφορετικός όρος γινομένου θα έχει μόνο μία καταχώριση στο PLA, ακόμη και αν ο όρος γινομένου χρησιμοποιείται σε πολλές εξόδους. Ας δούμε ένα παράδειγμα. PLA ΠΑΡΑΔΕΙΓΜΑ ΑΠΑΝΤΗΣΗ Θεωρήστε το σύνολο των λογικών συναρτήσεων που ορίζονται στο παράδειγμα της σελίδας 16. Δώστε μια υλοποίηση με PLA αυτού του παραδείγματος για τις D, E, και F. Ο πίνακας αληθείας που κατασκευάσαμε προηγουμένως είναι: Είσοδοι Έξοδοι A B C D E F

19 Γ.3 Συνδυαστική λογική 25 Αφού υπάρχουν επτά μοναδικοί όροι γινομένου με τουλάχιστον μία α- ληθή τιμή στο τμήμα της εξόδου, θα υπάρχουν επτά στήλες στο επίπεδο AND. Ο αριθμός των γραμμών στο επίπεδο AND είναι τρεις (αφού υ- πάρχουν τρεις είσοδοι), και επίσης υπάρχουν τρεις γραμμές στο επίπεδο OR (αφού υπάρχουν τρεις έξοδοι). Η Εικόνα Γ.3.4 παρουσιάζει το PLA που προκύπτει, με τους όρους γινομένου οι οποίοι αντιστοιχούν στις καταχωρίσεις του πίνακα αληθείας από επάνω προς τα κάτω. Αντί να απεικονίσουν όλες τις πύλες, όπως κάναμε στην Εικόνα Γ.3.4, οι σχεδιαστές συχνά δείχνουν μόνο τη θέση των πυλών AND και των πυλών OR. Χρησιμοποιούνται κουκκίδες στην τομή της γραμμής σήματος ενός όρου γινομένου και μιας γραμμής εισόδου ή μιας γραμμής εξόδου όταν α- παιτείται μια αντίστοιχη πύλη AND ή πύλη OR. Η Εικόνα Γ.3.5 δείχνει πώς γίνεται το PLA της Εικόνας Γ.3.4 όταν σχεδιάζεται με αυτόν τον τρόπο. Τα περιεχόμενα του PLA είναι σταθερά κατά τη δημιουργία του, παρόλο που υπάρχουν επίσης πολλές μορφές δομών οι οποίες μοιάζουν με PLA και ο- νομάζονται PAL, που μπορούν να προγραμματιστούν ηλεκτρονικά όταν έ- νας σχεδιαστής είναι έτοιμος να τις χρησιμοποιήσει. Μνήμες ROM Μια άλλη μορφή δομημένης λογικής που μπορεί να χρησιμοποιηθεί για την υλοποίηση ενός συνόλου λογικών συναρτήσεων είναι η μνήμη μόνο για ανάγνωση (read-only memory ROM). Μια ROM ονομάζεται μνήμη ε- πειδή έχει ένα σύνολο από θέσεις που μπορούν να αναγνωσθούν ωστόσο, τα περιεχόμενα αυτών των θέσεων είναι σταθερά, συνήθως από το χρόνο κατασκευής τής ROM. Υπάρχουν επίσης προγραμματίσιμες ROM (programmable ROM PROM) που μπορούν να προγραμματιστούν ηλεκτρονικά, όταν ένας σχεδιαστής γνωρίζει τα περιεχόμενά τους. Υπάρχουν επίσης απαλείψιμες PROM (erasable PROM) αυτές οι συσκευές απαιτούν μια αργή διεργασία διαγραφής που χρησιμοποιεί υπεριώδες φως, και συνεπώς χρησιμοποιούνται ως μνήμες μόνο για ανάγνωση, εκτός από τα στάδια σχεδίασης και αποσφαλμάτωσης. Μια ROM διαθέτει ένα σύνολο γραμμών εισόδου διευθύνσεων και ένα σύνολο εξόδων. Ο αριθμός των προσπελάσιμων καταχωρίσεων στη ROM καθορίζει τον αριθμό των γραμμών διευθύνσεων: αν η ROM περιέχει 2 m προσπελάσιμες καταχωρίσεις, που ονομάζεται ύψος (height), τότε υπάρχουν m γραμμές εισόδου. Ο αριθμός των bit σε κάθε προσπελάσιμη καταχώριση είναι ίσος με τον αριθμό των bit εξόδου και μερικές φορές ονομάζεται πλάτος (width) της ROM. Ο συνολικός αριθμός bit στη ROM είναι ίσος με το ύψος επί το πλάτος. Το ύψος και το πλάτος μερικές φορές αναφέρονται συνολικά ως σχήμα (shape) της ROM. Μια ROM μπορεί να κωδικοποιήσει μια συλλογή λογικών συναρτήσεων απευθείας από τον πίνακα αληθείας. Για παράδειγμα, αν υπάρχουν n συναρτήσεις με m εισόδους, χρειαζόμαστε μια ROM με m γραμμές διευθύνσεων (και 2 m καταχωρίσεις), όπου κάθε καταχώριση έχει πλάτος n bit. Οι καταμνήμη μόνο για ανάγνωση (readonly memory ROM) Μια μνήμη της οποίας τα περιεχόμενα καθορίζονται κατά την κατασκευή της, και μετά μπορούν μόνο να αναγνωσθούν. Η ROM χρησιμοποιείται ως δομημένη λογική για την υλοποίηση ενός συνόλου λογικών συναρτήσεων με τη χρήση των όρων που περιέχονται στις λογικές συναρτήσεις ως εισόδων διευθύνσεων και των εξόδων ως bit, σε κάθε λέξη της μνήμης. προγραμματίσιμη ROM (programmable ROM PROM) Μορφή μνήμης μόνο για ανάγνωση που μπορεί να προγραμματιστεί όταν ο σχεδιαστής γνωρίζει τα περιεχόμενά της.

20 26 Παράρτημα Γ Τα βασικά της λογικής σχεδίασης χωρίσεις στο τμήμα εισόδου του πίνακα αληθείας αντιπροσωπεύουν τις διευθύνσεις των καταχωρίσεων της ROM, ενώ τα περιεχόμενα του τμήματος εξόδου του πίνακα αληθείας συνιστούν τα περιεχόμενα της ROM. Αν ο πίνακας αληθείας είναι οργανωμένος έτσι ώστε η ακολουθία των καταχωρίσεων στο τμήμα εισόδου να συνιστά μια ακολουθία δυαδικών αριθμών (όπως συμβαίνει με όλους τους πίνακες αληθείας που έχουμε δει μέχρι τώρα), τότε το τμήμα εξόδου δίνει τα περιεχόμενα της ROM επίσης με τη σειρά. ΕΙΚΟΝΑ Γ.3.4 Το PLA για την υλοποίηση της λογικής συνάρτησης που περιγράψαμε στο παράδειγμα. ΕΙΚΟΝΑ Γ.3.5 Ένα PLA σχεδιασμένο με τη χρήση κουκκίδων για να επισημανθούν τα συστατικά των όρων γινομένου και των όρων αθροίσματος στον πίνακα. Αντί να χρησιμοποιούνται αντιστροφείς στις πύλες, συνήθως όλες οι είσοδοι διατρέχουν όλο το πλάτος του επιπέδου AND, τόσο στην κανονική όσο και στη συμπληρωματική μορφή. Μια κουκκίδα στο επίπεδο AND σημαίνει ότι η είσοδος ή η αντίστροφή της εμφανίζεται στον όρο γινομένου. Μια κουκκίδα στο επίπεδο OR σημαίνει ότι ο αντίστοιχος όρος γινομένου εμφανίζεται στην αντίστοιχη έξοδο.

21 Γ.3 Συνδυαστική λογική 27 Στο προηγούμενο παράδειγμα, που ξεκινά στη σελίδα 24, υπήρχαν τρεις είσοδοι και τρεις έξοδοι. Αυτό οδηγεί σε μια ROM με 2 3 = 8 καταχωρίσεις, κάθε μία με πλάτος 3 bit. Τα περιεχόμενα αυτών των καταχωρίσεων σε αύξουσα σειρά διευθύνσεων δίνονται απευθείας από το τμήμα εξόδου του πίνακα αληθείας που φαίνεται στη σελίδα 24. Οι ROM και τα PLA έχουν στενή σχέση μεταξύ τους. Μια ROM είναι πλήρως αποκωδικοποιημένη: περιέχει μια πλήρη λέξη εξόδου για κάθε πιθανό συνδυασμό εισόδων. Ένα PLA είναι μόνο μερικώς αποκωδικοποιημένο. Αυτό σημαίνει ότι μια ROM περιέχει πάντα περισσότερες καταχωρίσεις. Για τον προηγούμενο πίνακα αληθείας της σελίδας 24, η ROM περιέχει καταχωρίσεις και για τις οκτώ πιθανές εισόδους, ενώ το PLA περιέχει μόνο τους επτά ενεργούς όρους γινομένου. Καθώς ο αριθμός των εισόδων αυξάνεται, ο αριθμός των καταχωρίσεων στη ROM αυξάνεται εκθετικά. Αντίθετα, για τις περισσότερες πραγματικές λογικές συναρτήσεις, ο αριθμός των όρων γινομένου αυξάνεται πολύ πιο αργά (δείτε τα παραδείγματα στο Παράρτημα Δ). Αυτή η διαφορά κάνει τα PLA γενικά πιο αποδοτικά για την υλοποίηση συνδυαστικών λογικών συναρτήσεων. Οι ROM έχουν το πλεονέκτημα ότι είναι ικανές να υλοποιήσουν οποιαδήποτε λογική συνάρτηση με τον κατάλληλο αριθμό εισόδων και εξόδων. Αυτό το πλεονέκτημα διευκολύνει την τροποποίηση των περιεχομένων τής ROM αν αλλάξει η λογική συνάρτηση, αφού το μέγεθος της ROM δε χρειάζεται να αλλάξει. Εκτός από τις ROM και τα PLA τα σύγχρονα συστήματα λογικής σύνθεσης μετατρέπουν επίσης μικρά μπλοκ συνδυαστικής λογικής σε μια συλλογή από πύλες που μπορούν να τοποθετηθούν και να συνδεθούν αυτόματα. Παρόλο που μερικές μικρές συλλογές πυλών δεν είναι συνήθως αποδοτικές από πλευράς επιφάνειας, για μικρές λογικές συναρτήσεις έχουν μικρότερη επιβάρυνση από την αυστηρή δομή μιας ROM και ενός PLA και, συνεπώς, προτιμώνται. Για τη σχεδίαση λογικής έξω από ένα προσαρμοσμένο (custom) ή ημιπροσαρμοσμένο (semi-custom) ολοκληρωμένο κύκλωμα, μια συνηθισμένη επιλογή είναι κάποια επιτόπου προγραμματίσιμη συσκευή (field programmable device) περιγράφουμε αυτές τις συσκευές στην Ενότητα Γ.12. Αδιάφοροι όροι Συχνά, στην υλοποίηση μιας συνδυαστικής λογικής υπάρχουν περιπτώσεις όπου αδιαφορούμε για την τιμή κάποιας εξόδου, είτε επειδή μια άλλη έξοδος είναι αληθής είτε επειδή ένα υποσύνολο των συνδυασμών εισόδων καθορίζει τις τιμές των εξόδων. Τέτοιες περιπτώσεις αναφέρονται ως αδιάφοροι όροι (don t cares). Οι αδιάφοροι όροι είναι σημαντικοί επειδή διευκολύνουν τη βελτιστοποίηση της υλοποίησης μιας λογικής συνάρτησης. Υπάρχουν δύο τύποι αδιάφορων όρων: αδιάφοροι όροι εξόδου και αδιάφοροι όροι εισόδου, που και οι δύο μπορούν να αναπαρασταθούν σε έναν πίνακα αληθείας. Οι αδιάφοροι όροι εξόδου εμφανίζονται όταν δεν ενδιαφερόμαστε για την τιμή μιας εξόδου για κάποιο συνδυασμό εισόδων. Εμφανίζονται ως Χ στο τμήμα εξόδου του πίνακα αληθείας. Όταν μια έξοδος είναι αδιάφορος όρος για κάποιο συνδυασμό εισόδων, ο σχεδιαστής ή το πρό-

22 28 Παράρτημα Γ Τα βασικά της λογικής σχεδίασης γραμμα λογικής βελτιστοποίησης είναι ελεύθεροι να κάνουν την έξοδο α- ληθή ή ψευδή γι αυτόν το συνδυασμό εισόδων. Οι αδιάφοροι όροι εισόδου εμφανίζονται όταν μια έξοδος εξαρτάται μόνον από μερικές εισόδους, και εμφανίζονται πάλι ως Χ, αλλά στο τμήμα εισόδου τού πίνακα αληθείας. Αδιάφοροι όροι ΠΑΡΑΔΕΙΓΜΑ ΑΠΑΝΤΗΣΗ Θεωρήστε μια λογική συνάρτηση με εισόδους A, B, και C που ορίζεται ως εξής: Αν το A ή το C είναι αληθές, τότε η έξοδος D είναι αληθής ανεξάρτητα από την τιμή του B. Αν το A ή το B είναι αληθές, τότε η έξοδος E είναι αληθής ανεξάρτητα από την τιμή του C. Η έξοδος F είναι αληθής όταν ακριβώς μία από τις εισόδους είναι α- ληθής, παρόλο που δεν ενδιαφερόμαστε για την τιμή της F όταν η D και η E είναι και οι δύο αληθείς. Δώστε τον πλήρη πίνακα αληθείας αυτής της συνάρτησης και τον πίνακα αληθείας με τη χρήση αδιάφορων όρων. Πόσοι όροι γινομένου απαιτούνται σε ένα PLA για κάθε μία από αυτές; Ο πλήρης πίνακας αληθείας χωρίς αδιάφορους όρους είναι: Είσοδοι Έξοδοι A B C D E F Αυτός απαιτεί επτά όρους γινομένου χωρίς βελτιστοποίηση. Ο πίνακας αληθείας γραμμένος με αδιάφορους όρους εξόδου είναι ως εξής: Είσοδοι Έξοδοι A B C D E F Χ Χ Χ Χ Χ

23 Γ.3 Συνδυαστική λογική 29 Αν χρησιμοποιήσουμε επίσης τους αδιάφορους όρους εισόδου, αυτός ο πίνακας αληθείας μπορεί να απλοποιηθεί περισσότερο και να οδηγήσει στον εξής: Είσοδοι Έξοδοι A B C D E F X Χ 1 X X 1 1 Χ Αυτός ο απλοποιημένος πίνακας αληθείας απαιτεί ένα PLA με τέσσερις ελαχιστόρους, ή μπορεί να υλοποιηθεί με διακριτές πύλες μία πύλη AND των δύο εισόδων και τρεις πύλες OR (δύο με τρεις εισόδους και μία με δύο εισόδους). Αυτό είναι συγκρίσιμο με τον αρχικό πίνακα αληθείας που είχε επτά ελαχιστόρους και θα απαιτούσε τέσσερις πύλες AND. Η λογική ελαχιστοποίηση (logic minimization) είναι κρίσιμη για την επίτευξη αποδοτικών υλοποιήσεων. Ένα χρήσιμο εργαλείο για την ελαχιστοποίηση της τυχαίας λογικής «με το χέρι» είναι οι χάρτες Karnaugh (Karnaugh maps). Οι χάρτες Karnaugh αναπαριστούν γραφικά τον πίνακα αληθείας έτσι, ώστε οι όροι γινομένου που μπορούν να συνδυαστούν να φαίνονται εύκολα. Παρόλα αυτά, η βελτιστοποίηση σημαντικών λογικών συναρτήσεων με το χέρι, με τη χρήση χαρτών Karnaugh δεν είναι πρακτική, τόσο λόγω του μεγέθους των χαρτών όσο και της πολυπλοκότητάς τους. Ευτυχώς, η διαδικασία της λογικής ελαχιστοποίησης είναι σε μεγάλο βαθμό μηχανική και μπορεί να εκτελεστεί από εργαλεία σχεδιασμού. Στη διαδικασία της ελαχιστοποίησης, τα εργαλεία εκμεταλλεύονται τους αδιάφορους όρους και, συνεπώς, ο καθορισμός τους είναι σημαντικός. Στις βιβλιογραφικές αναφορές στο τέλος αυτού του παραρτήματος, θα βρείτε περαιτέρω ανάλυση της λογικής ελαχιστοποίησης, των χαρτών Karnaugh, και της θεωρίας που βρίσκεται πίσω από τέτοιους αλγορίθμους ελαχιστοποίησης. Διατάξεις λογικών στοιχείων Πολλές από τις συνδυαστικές λειτουργίες που εκτελούνται σε δεδομένα πρέπει να εφαρμοστούν σε μια ολόκληρη λέξη δεδομένων (32 bit). Έτσι, συχνά χρειάζεται να κατασκευάσουμε μια διάταξη λογικών στοιχείων, την οποία μπορούμε να αναπαραστήσουμε απλώς δείχνοντας ότι μια δεδομένη λειτουργία θα εφαρμοστεί σε μια ολόκληρη συλλογή εισόδων. Για παράδειγμα, είδαμε στη σελίδα 20 πώς μοιάζει ένας πολυπλέκτης του 1 bit αλλά, μέσα σε μια μηχανή, τον περισσότερο χρόνο χρειάζεται να επιλέγουμε μεταξύ ενός ζεύγους διαύλων. Ένας δίαυλος (bus) είναι μια συλλογή γραμμών δεδομένων που αντιμετωπίζονται μαζί ως ένα μοναδικό λογικό σήμα. (Ο όρος δίαυλος χρησιμοποιείται επίσης για να δείξει μια κοινόχρηστη συλλοδίαυλος (bus) Στη λογική σχεδίαση, μια συλλογή γραμμών δεδομένων που αντιμετωπίζονται μαζί σαν ένα μοναδικό λογικό σήμα επίσης, μια κοινόχρηστη συλλογή γραμμών με πολλές προελεύσεις και χρήσεις.

24 30 Παράρτημα Γ Τα βασικά της λογικής σχεδίασης γή γραμμών με πολλές προελεύσεις και χρήσεις, ειδικά στο Κεφάλαιο 6 στο οποίο εξετάστηκαν οι δίαυλοι εισόδου/εξόδου.) Για παράδειγμα, στο σύνολο εντολών του MIPS το αποτέλεσμα μιας ε- ντολής που γράφεται σε έναν καταχωρητή μπορεί να προέρχεται από μία από δύο προελεύσεις. Ένας πολυπλέκτης χρησιμοποιείται για να επιλέξει ποιος από τους δύο διαύλους (καθένας με πλάτος 32 bit) θα γραφεί στον καταχωρητή του αποτελέσματος. Ο πολυπλέκτης του 1 bit, που δείξαμε προηγουμένως, θα χρειαστεί να επαναληφθεί 32 φορές. Επισημαίνουμε ότι ένα σήμα είναι ένας δίαυλος και όχι μία μοναδική γραμμή του 1 bit, δείχνοντάς το σε ένα σχήμα με μια πιο παχιά γραμμή. Οι περισσότεροι δίαυλοι έχουν πλάτος 32 bit αυτοί που δεν έχουν ετικέτες με το πλάτος τους. Όταν δείχνουμε μια λογική μονάδα της οποίας οι είσοδοι και οι έξοδοι είναι δίαυλοι, αυτό σημαίνει ότι η μονάδα πρέπει να αναπαραχθεί αρκετές φορές ώστε να καλύψει το πλάτος τής εισόδου. Η Εικόνα Γ.3.6 δείχνει πώς σχεδιάζουμε έναν πολυπλέκτη που επιλέγει ανάμεσα σε ένα ζεύγος διαύλων των 32 bit και πώς αυτό επεκτείνεται σε πολυπλέκτες του 1 bit. Μερικές φορές, χρειάζεται να κατασκευάσουμε μια διάταξη λογικών στοιχείων στην οποία οι είσοδοι μερικών στοιχείων στη διάταξη είναι έξοδοι από προηγούμενα στοιχεία. Για παράδειγμα, έτσι κατασκευάζεται μια ALU με πλάτος πολλών bit. Σε τέτοιες περιπτώσεις, πρέπει να δείξουμε με ΕΙΚΟΝΑ Γ.3.6 Ένας πολυπλέκτης παρατάσσεται 32 φορές για να εκτελέσει μια επιλογή μεταξύ δύο εισόδων των 32 bit. Παρατηρήστε ότι εξακολουθεί να υπάρχει μόνον ένα σήμα επιλογής δεδομένων που χρησιμοποιείται σε όλους τους 32 πολυπλέκτες του 1 bit.

25 Γ.4 Χρήση μιας γλώσσας περιγραφής υλικού 31 σαφήνεια πώς να κατασκευαστούν διατάξεις μεγαλύτερου πλάτους, αφού τα μεμονωμένα στοιχεία της διάταξης δεν είναι πλέον ανεξάρτητα, όπως στην περίπτωση ενός πολυπλέκτη με πλάτος 32 bit. Η ισοτιμία (parity) είναι μια συνάρτηση στην οποία η έξοδος εξαρτάται από τον αριθμό των 1 στην είσοδο. Για μια συνάρτηση άρτιας ισοτιμίας, η έξοδος είναι 1 αν η είσοδος έχει άρτιο αριθμό μονάδων (1). Υποθέστε ότι χρησιμοποιείται μια ROM για την υλοποίηση μιας συνάρτησης άρτιας ισοτιμίας με είσοδο των 4 bit. Ποιο από τα A, B, C, και D αντιπροσωπεύει τα περιεχόμενα της ROM; Αυτοεξέταση Διεύθυνση A B C D Γ.4 Χρήση μιας γλώσσας περιγραφής υλικού Σήμερα, το μεγαλύτερο μέρος τής ψηφιακής σχεδίασης των επεξεργαστών και του σχετικού συστήματος υλικού γίνεται με τη βοήθεια μιας γλώσσας περιγραφής υλικού (hardware description language). Μια τέτοια γλώσσα εξυπηρετεί δύο σκοπούς. Πρώτον, παρέχει μια αφηρημένη περιγραφή του υλικού για την προσομοίωση και την αποσφαλμάτωση της σχεδίασης. Δεύτερον, με τη χρήση εργαλείων λογικής σύνθεσης και μετάφρασης σε υλικό, αυτή η περιγραφή μπορεί να μεταφραστεί στην υλοποίηση του υλικού. Σε αυτή την ενότητα, εισάγουμε τη γλώσσα περιγραφής υλικού Verilog και δείχνουμε πώς μπορεί να χρησιμοποιηθεί στη συνδυαστική σχεδίαση. Στο υπόλοιπο του παραρτήματος, επεκτείνουμε τη χρήση της Verilog για να συμπεριλάβουμε τη σχεδίαση ακολουθιακής λογικής. Στις προαιρετικές ε- νότητες του Κεφαλαίου 4, οι οποίες υπάρχουν στο Παράρτημα Ζ, χρησιμοποιούμε τη Verilog για να περιγράψουμε υλοποιήσεις επεξεργαστών. Στην προαιρετική ενότητα του Κεφαλαίου 5, η οποία υπάρχει στο Παράρτημα Ζ, γλώσσα περιγραφής υλικού (hardware description language) Μια γλώσσα προγραμματισμού για την περιγραφή υλικού, που χρησιμοποιείται στη δημιουργία προσομοιώσεων μιας σχεδίασης υλικού και ως είσοδος σε εργαλεία σύνθεσης τα οποία μπορούν να παραγάγουν πραγματικό υλικό.

26

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ. Κεφάλαιο 3

ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ. Κεφάλαιο 3 ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ Κεφάλαιο 3 Δυαδική λογική Με τον όρο λογική πρόταση ή απλά πρόταση καλούμε κάθε φράση η οποία μπορεί να χαρακτηριστεί αληθής ή ψευδής με βάση το νόημα της. π.χ. Σήμερα

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

"My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Καραγιάννη Ελένη 1, Καραγιαννάκη Μαρία-Ελένη 2, Βασιλειάδης Αθανάσιος 3, Κωστουλίδης Αναστάσιος-Συμεών 4, Μουτεβελίδης Ιωάννης-Παναγιώτης 5,

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Λειτουργία Πολυπλέκτης (Mul plexer) Ο

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Μονάδες Μνήμης και Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Μονάδες Μνήμης - Προγραμματιζόμενη Λογική Μια μονάδα μνήμης είναι ένα

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Ερωτήσεις Επανάληψης 1. Ένας καθηγητής λογικής μπαίνει σε ένα εστιατόριο και λέει : Θέλω ένα σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες. Δυστυχώς,

Διαβάστε περισσότερα

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή 1. Ηλεκτρονικός Υπολογιστής Ο Ηλεκτρονικός Υπολογιστής είναι μια συσκευή, μεγάλη ή μικρή, που επεξεργάζεται δεδομένα και εκτελεί την εργασία του σύμφωνα με τα παρακάτω

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 4. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Α 2 Άλγεβρα

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων

K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Ένα ψηφιακό κύκλωμα με n εισόδους

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Εκτέλεση πράξεων

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

Αναλογικά & Ψηφιακά Κυκλώματα ιαφάνειες Μαθήματος ρ. Μηχ. Μαραβελάκης Εμ.

Αναλογικά & Ψηφιακά Κυκλώματα ιαφάνειες Μαθήματος ρ. Μηχ. Μαραβελάκης Εμ. ΝΑΛΟΓΙΚΑ Άλγεβρα Boole Αναλογικά & Ψηφιακά Κυκλώματα ιαφάνειες Μαθήματος ρ. Μηχ. Μαραβελάκης Εμ. ΝΑΛΟΓΙΚΑ Άλγεβρα Boole Οι αρχές της λογικής αναπτύχθηκαν από τον George Boole (85-884) και τον ugustus De

Διαβάστε περισσότερα

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch 9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας Θεσσαλονίκη, 25-28 Απριλίου 2017, ΝΟΗΣΙΣ "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Κωνσταντίνος Παρασκευόπουλος Καθηγητής Πληροφορικής

Διαβάστε περισσότερα

Λογική Σχεδίαση Ψηφιακών Συστημάτων

Λογική Σχεδίαση Ψηφιακών Συστημάτων Πανεπιστήμιο Θεσσαλίας Τμήμα Πληροφορικής Λογική Σχεδίαση Ψηφιακών Συστημάτων Σταμούλης Γεώργιος georges@uth.gr Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Δυαδική Λογική Η δυαδική λογική ασχολείται με μεταβλητές

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΕΝΟΤΗΤΑ Μ1 ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Εκπαιδευτής: Γ. Π. ΠΑΤΣΗΣ, Επικ. Καθηγητής, Τμήμα Ηλεκτρονικών Μηχανικών, ΤΕΙ Αθήνας ΚΑΘΟΛΙΚΕΣ ΠΥΛΕΣ NND NOR ΑΛΓΕΒΡΑ OOLE ΘΕΩΡΗΜΑ

Διαβάστε περισσότερα

Μάθημα 0: Εισαγωγή. Λευτέρης Καπετανάκης. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Άνοιξη 2011

Μάθημα 0: Εισαγωγή. Λευτέρης Καπετανάκης. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Άνοιξη 2011 ΤΛ22 Ψηφιακά Κυκλώματα Ι Μάθημα : Εισαγωγή Λευτέρης Καπετανάκης ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Άνοιξη 2 Περιεχόμενα Μαθήματος Εισαγωγή στη σχεδίαση των ψηφιακών κυκλώματων Εισαγωγή

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Κεφάλαιο 5. Λογικά κυκλώματα

Κεφάλαιο 5. Λογικά κυκλώματα Κεφάλαιο 5 Λογικά κυκλώματα 5.1 Εισαγωγή Κάθε συνάρτηση boole αντιστοιχεί σε έναν και μοναδικό πίνακα αλήθειας. Εάν όμως χρησιμοποιήσουμε τα γραφικά σύμβολα των πράξεων, μπορούμε για κάθε συνάρτηση που

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

Κεφάλαιο 4. Λογική Σχεδίαση

Κεφάλαιο 4. Λογική Σχεδίαση Κεφάλαιο 4 Λογική Σχεδίαση 4.1 Εισαγωγή Λογικές συναρτήσεις ονομάζουμε εκείνες για τις οποίες μπορούμε να αποφασίσουμε αν είναι αληθείς ή όχι. Χειριζόμαστε τις λογικές προτάσεις στην συγγραφή λογισμικού

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Σύνθετα Συνδυαστικά Κυκλώµατα Πύλες AND Πύλες OR Πύλες NAND Τυχαία Λογική Πύλες NOR Πύλες XNOR Η ολοκληρωµένη

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΑΠΛΟΠΟΙΗΣΗ και ΥΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ Σκοπός: Η κατανόηση της σχέσης µιας λογικής συνάρτησης µε το αντίστοιχο κύκλωµα. Η απλοποίηση λογικών συναρτήσεων

Διαβάστε περισσότερα

ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών Εργαστηριακές Ασκήσεις για το μάθημα «Λογική Σχεδίαση» ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH

ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών Εργαστηριακές Ασκήσεις για το μάθημα «Λογική Σχεδίαση» ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH 3.1 ΣΚΟΠΟΣ Η κατανόηση της απλοποίησης λογικών συναρτήσεων με χρήση της Άλγεβρας Boole και με χρήση των Πινάκων Karnaugh (Karnaugh maps). 3.2 ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ 3.2.1 ΑΠΛΟΠΟΙΗΣΗ

Διαβάστε περισσότερα

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Ενότητα 3: Άλγεβρα Βοole και Λογικές Πράξεις Δρ. Φραγκούλης Γεώργιος Τμήμα Ηλεκτρολογίας Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

3. Απλοποίηση Συναρτήσεων Boole

3. Απλοποίηση Συναρτήσεων Boole 3. Απλοποίηση Συναρτήσεων Boole 3. Μέθοδος του χάρτη Η πολυπλοκότητα ψηφιακών πυλών που υλοποιούν μια συνάρτηση Boole σχετίζεται άμεσα με την πολύπλοκότητα της αλγεβρικής της έκφρασης. Η αλγεβρική αναπαράσταση

Διαβάστε περισσότερα

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Ενότητα 3: Άλγεβρα Βοole και Λογικές Πράξεις Δρ. Φραγκούλης Γεώργιος Τμήμα Ηλεκτρολογίας Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Απλοποίηση Συναρτήσεων Boole. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Απλοποίηση Συναρτήσεων Boole. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Απλοποίηση Συναρτήσεων Boole Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Απλοποίηση Συναρτήσεων Boole Η πολυπλοκότητα του κυκλώματος

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 11:

Ψηφιακή Σχεδίαση Ενότητα 11: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 11: Μνήμη και Προγραμματίσιμη Λογική Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential).

Διαβάστε περισσότερα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών

Διαβάστε περισσότερα

Μνήμη και Προγραμματίσιμη Λογική

Μνήμη και Προγραμματίσιμη Λογική Μνήμη και Προγραμματίσιμη Λογική Η μονάδα μνήμης είναι ένα στοιχείο κυκλώματος στο οποίο μεταφέρονται ψηφιακές πληροφορίες προς αποθήκευση και από το οποίο μπορούμε να εξάγουμε αποθηκευμένες πληροφορίες

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 3: Ελαχιστοποίηση σε επίπεδο τιμών, Χάρτες Karnaugh, Πρωτεύοντες όροι Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΗΛΕΚΤΡΟΝΙΚΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΟΜΑ Α Α Αριθµητική Λογική Μονάδα των 8-bit 1. Εισαγωγή Γενικά µια αριθµητική λογική µονάδα (ALU, Arithmetic Logic Unit)

Διαβάστε περισσότερα

Εκτέλεση πράξεων. Ψηφιακά Ηλεκτρονικά και Δυαδική Λογική. Πράξεις με δυαδικούς αριθμούς. Πράξεις με δυαδικούς αριθμούς

Εκτέλεση πράξεων. Ψηφιακά Ηλεκτρονικά και Δυαδική Λογική. Πράξεις με δυαδικούς αριθμούς. Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 24-5 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης ; Ποιες κατηγορίες

Διαβάστε περισσότερα

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21 Περιεχόµενα Πρόλογος 11 Σκοπός αυτού του βιβλίου 11 Σε ποιους απευθύνεται αυτό το βιβλίο 12 Βασικά χαρακτηριστικά του βιβλίου 12 Κάλυψη συστηµάτων CAD 14 Εργαστηριακή υποστήριξη 14 Συνοπτική παρουσίαση

Διαβάστε περισσότερα

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 4 ο Πράξεις με bits. Δρ.

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 4 ο Πράξεις με bits. Δρ. Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Πληροφορική Ι Μάθημα 4 ο Πράξεις με bits Δρ. Γκόγκος Χρήστος Κατηγορίες πράξεων με bits Πράξεις με δυαδικά ψηφία Αριθμητικές πράξεις

Διαβάστε περισσότερα

Ενότητα 6 ΑΝΑΛΥΣΗ & ΣΥΝΘΕΣΗ ΣΥΝΔΥΑΣΤΙΚΗΣ ΛΟΓΙΚΗΣ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΟΛΛΩΝ ΕΠΙΠΕΔΩΝ

Ενότητα 6 ΑΝΑΛΥΣΗ & ΣΥΝΘΕΣΗ ΣΥΝΔΥΑΣΤΙΚΗΣ ΛΟΓΙΚΗΣ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΟΛΛΩΝ ΕΠΙΠΕΔΩΝ Ενότητα 6 ΑΝΑΛΥΣΗ & ΣΥΝΘΕΣΗ ΣΥΝΔΥΑΣΤΙΚΗΣ ΛΟΓΙΚΗΣ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΟΛΛΩΝ ΕΠΙΠΕΔΩΝ Γενικές Γραμμές Ανάλυση Συνδυαστικής Λογικής Σύνθεση Συνδυαστικής Λογικής Λογικές Συναρτήσεις Πολλών Επιπέδων Συνδυαστικά

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τμήμα Εφαρμοσμένης Πληροφορικής & Πολυμέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 2: Συνδυαστικά Λογικά

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τμήμα Εφαρμοσμένης Πληροφορικής & Πολυμέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 2: Συνδυαστικά Λογικά ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τμήμα Εφαρμοσμένης Πληροφορικής & Πολυμέσων Ψηφιακή Σχεδίαση Κεφάλαιο 2: Συνδυαστικά Λογικά Κυκλώματα Γ. Κορνάρος Περίγραμμα Μέρος 1 Κυκλώματα Πυλών και

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 2: Αλγεβρα Boole, Δυαδική Λογική, Ελαχιστόροι, Μεγιστόροι Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και

Διαβάστε περισσότερα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών μεταβλητών a,

Διαβάστε περισσότερα

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI υαδικός Αθροιστής & Αφαιρέτης A i B i FA S i C i C i+1 D Σειριακός Αθροιστής Σειριακός Αθροιστής: απαιτεί 1 πλήρη αθροιστή, 1 στοιχείο µνήµης και παράγει

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Προγραµµατιζόµενες

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 ΠΡΟΒΛΗΜΑΤΑ ΛΟΓΙΚΗΣ ΣΧΕΔΙΑΣΗΣ

ΑΣΚΗΣΗ 4 ΠΡΟΒΛΗΜΑΤΑ ΛΟΓΙΚΗΣ ΣΧΕΔΙΑΣΗΣ ΑΣΚΗΣΗ 4 ΠΡΟΒΛΗΜΑΤΑ ΛΟΓΙΚΗΣ ΣΧΕΔΙΑΣΗΣ 4.1 ΣΚΟΠΟΣ Σκοπός αυτής της εργαστηριακής άσκησης είναι να παρουσιάσει τις βασικές αρχές της σχεδίασης λογικών (ψηφιακών) κυκλωμάτων για πρακτικές εφαρμογές. Στα προηγούμενα

Διαβάστε περισσότερα

Ενότητα 2 ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΕΣ ΠΥΛΕΣ

Ενότητα 2 ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΕΣ ΠΥΛΕΣ Ενότητα 2 ΛΓΕΡ BOOLE ΛΟΓΙΚΕΣ ΠΥΛΕΣ Άλγεβρα Boole Γενικές Γραμμές ξιώματα Huntington και Θεωρήματα ρχή του Δυϊσμού Λογικές πύλες NAND και NOR Υλοποιήσεις με πύλες NAND ή πύλεςnor πομονωτές τριών καταστάσεων

Διαβάστε περισσότερα

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI και Εισαγωγή Οι προγραµµατιζόµενες διατάξεις είναι ολοκληρωµένα µε εσωτερικές πύλες οι οποίες µπορούν να υλοποιήσουν οποιαδήποτε συνάρτηση αν υποστούν

Διαβάστε περισσότερα

Κεφάλαιο 4 : Λογική και Κυκλώματα

Κεφάλαιο 4 : Λογική και Κυκλώματα Κεφάλαιο 4 : Λογική και Κυκλώματα Σύνοψη Τα κυκλώματα που διαθέτουν διακόπτες ροής ηλεκτρικού φορτίου, χρησιμοποιούνται σε διατάξεις που αναπαράγουν λογικές διαδικασίες για τη λήψη αποφάσεων. Στην ενότητα

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Απαντήσεις 1. Η παραγγελία είναι σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες η οποία μπορεί να αναλυθεί ως σάντουιτς ή (σουβλάκι και τηγανητές πατάτες)

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

6.1 Θεωρητική εισαγωγή

6.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 6 ΑΠΟΚΩ ΙΚΟΠΟΙΗΤΕΣ ΚΑΙ ΠΟΛΥΠΛΕΚΤΕΣ Σκοπός: Η κατανόηση της λειτουργίας των κυκλωµάτων ψηφιακής πολυπλεξίας και αποκωδικοποίησης και η εξοικείωση µε τους ολοκληρωµένους

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2008

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2008 ΗΜΥ 2: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο 28 Οκτ-8 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ Μιχαήλ Πανεπιστήμιο Κύπρου

Διαβάστε περισσότερα

4. ΝΟΜΟΙ ΔΥΑΔΙΚΗΣ ΑΛΓΕΒΡΑΣ

4. ΝΟΜΟΙ ΔΥΑΔΙΚΗΣ ΑΛΓΕΒΡΑΣ 4. ΝΟΜΟΙ ΔΥΔΙΚΗΣ ΛΓΕΡΣ 4.1 ασικές έννοιες Εισαγωγή Η δυαδική άλγεβρα ή άλγεβρα oole θεμελιώθηκε από τον Άγγλο μαθηματικό George oole. Είναι μία "Λογική Άλγεβρα" για τη σχεδίαση κυκλωμάτων διακοπτών. Η

Διαβάστε περισσότερα

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές 12 ο Μάθημα Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ E-mail: leo@mail.ntua.gr URL: http://users.ntua.gr/leo 1 GROUP I A Λ ΤΡΙΤΗ PC-Lab GROUP IΙ Μ Ω ΠΑΡΑΣΚΕΥΗ Central Κέντρο

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Γενικές Γραμμές Δυαδικοί Αριθμοί έναντι Δυαδικών Κωδίκων Δυαδικοί Αποκωδικοποιητές Υλοποίηση Συνδυαστικής Λογικής με Δυαδικό Αποκωδικοποιητή

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

Παράδειγμα αντιστοίχισης κυκλώματος σε FPGA

Παράδειγμα αντιστοίχισης κυκλώματος σε FPGA Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών Παράδειγμα αντιστοίχισης κυκλώματος σε FPGA Γιώργος Δημητρακόπουλος με τη βοήθεια του Βασίλη Παπαευσταθίου Στο παράδειγμα αυτό χρησιμοποιώντας μια πολύ μικρή

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα 1 ΗΜΥ 2: Σχεδιασμός Ψηφιακών Συστημάτων Αυγ-3 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 6: Λογικές πύλες και λογικά κυκλώματα

K15 Ψηφιακή Λογική Σχεδίαση 6: Λογικές πύλες και λογικά κυκλώματα K15 Ψηφιακή Λογική Σχεδίαση 6: Λογικές πύλες και λογικά κυκλώματα Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Λογικές πύλες Περιεχόμενα 1 Λογικές πύλες

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο Βασικές Συνδυαστικές Συναρτήσεις και. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο Βασικές Συνδυαστικές Συναρτήσεις και. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ 2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 29 Οκτ-9 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό μρ Εξάμηνο 29 Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ Μιχαήλ

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Δομή Αριθμητικής Λογικής Μονάδας

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

C D C D C D C D A B

C D C D C D C D A B Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με

Διαβάστε περισσότερα

Δομή Ηλεκτρονικού υπολογιστή

Δομή Ηλεκτρονικού υπολογιστή Δομή Ηλεκτρονικού υπολογιστή Η κλασσική δομή του μοντέλου που πρότεινε το 1948 ο Von Neumann Κεντρική Μονάδα Επεξεργασίας Είσοδος Αποθήκη Αποθήκη - Έξοδος Εντολών Δεδομένων Κλασσικό μοντέλο Von Neumann

Διαβάστε περισσότερα

Κεφάλαιο 8. Αριθμητική Λογική μονάδα

Κεφάλαιο 8. Αριθμητική Λογική μονάδα Κεφάλαιο 8 Αριθμητική Λογική μονάδα 8.1 Εισαγωγή Στη μηχανική υπολογιστών η αριθμητική/λογική μονάδα (ALU) είναι ένα ψηφιακό κύκλωμα το οποίο εκτελεί αριθμητικούς και λογικούς υπολογισμούς. Η ALU είναι

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΑΛΓΕΒΡΑ BOOLE 2017, Δρ. Ηρακλής Σπηλιώτης Γενικοί ορισμοί Αλγεβρική δομή είναι ένα σύνολο στοιχείων και κάποιες συναρτήσεις με πεδίο ορισμού αυτό το σύνολο. Αυτές οι συναρτήσεις

Διαβάστε περισσότερα

Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών

Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών Η επεξεργασία των δεδομένων ακολουθεί μια στερεότυπη διαδρομή: τα δεδομένα εισάγονται στο υπολογιστικό σύστημα, υφίστανται μια ορισμένη επεξεργασία και

Διαβάστε περισσότερα

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής Τ.Ε.

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΤΕΙ ΙΟΝΙΩΝ ΝΗΣΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΣΤΗ ΔΙΟΙΚΗΣΗ ΚΑΙ ΣΤΗΝ ΟΙΚΟΝΟΜΙΑ 8 Ο ΜΑΘΗΜΑ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΑΠΟΣΤΟΛΙΑ ΠΑΓΓΕ Περιεχόμενα 2 Άλγεβρα Boole Ορισμοί Λογικές πράξεις Πίνακες αληθείας Πύλες

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX)

ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX) ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX) 8.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των πολυπλεκτών και αποπλεκτών και της χρήσης αυτών των ολοκληρωμένων κυκλωμάτων (Ο.Κ.)

Διαβάστε περισσότερα

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ 1. Να μετατρέψετε τον δεκαδικό 16.25 σε δυαδικό. 2. Να μετατρέψετε τον δεκαδικό 18.75 σε δυαδικό και τον δεκαδικό 268 σε δεκαεξαδικό. 3. Να βρεθεί η βάση εκείνου του αριθμητικού

Διαβάστε περισσότερα

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας Κεφάλαιο 3 ο Αρχιτεκτονική Υπολογιστών Μάθημα 3.: Κεντρική Μονάδα Επεξεργασίας Όταν ολοκληρώσεις το κεφάλαιο θα μπορείς: Να σχεδιάζεις την εσωτερική δομή της ΚΜΕ και να εξηγείς τη λειτουργία των επιμέρους

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

3. ΛΟΓΙΚΕΣ ΠΡΑΞΕΙΣ & ΛΟΓΙΚΕΣ ΠΥΛΕΣ

3. ΛΟΓΙΚΕΣ ΠΡΑΞΕΙΣ & ΛΟΓΙΚΕΣ ΠΥΛΕΣ 3. ΛΟΓΙΚΕΣ ΠΡΞΕΙΣ & ΛΟΓΙΚΕΣ ΠΥΛΕΣ 3. ΛΟΓΙΚΕΣ ΠΡΞΕΙΣ 3.. Εισαγωγή ντίθετα προς τις μαθηματικές πράξεις και τις μεταβλητές τους, στην λογική διαδικασία χρησιμοποιούμε τις λογικές μεταβλητές οι οποίες μπορούν

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 3. Λογικές Πράξεις & Λογικές Πύλες

Ψηφιακά Συστήματα. 3. Λογικές Πράξεις & Λογικές Πύλες Ψηφιακά Συστήματα 3. Λογικές Πράξεις & Λογικές Πύλες Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Κώδικας μηχανής (E) Ο επεξεργαστής μπορεί να εκτελέσει το αρχιτεκτονικό σύνολο εντολών (instruction set architecture) Οι

Διαβάστε περισσότερα

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Φεβ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 3 -i: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Περίληψη Αρχές σχεδιασµού Ιεραρχία σχεδιασµού Σχεδιασµός

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού. Περιεχόµενα ΚΕΦΑΛΑΙΟ 3 Συνδυαστικά Κυκλώµατα 3.1 Συνδυαστικά Κυκλώµατα 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού 1 2 3.1 Συνδυαστικά Κυκλώµατα Έξοδος οποιαδήποτε

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα