Ενςωματωμένα Συςτήματα Υλοποίηςη του SDES ςε Hardware

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Ενςωματωμένα Συςτήματα Υλοποίηςη του SDES ςε Hardware"

Transcript

1 Ενςωματωμένα Συςτήματα Υλοποίηςη του SDES ςε Hardware June Κεχαγιάσ Απόςτολοσ ΑΕΜ:134

2 Table of Contents O αλγόριθμοσ... 2 Υλοποίηςη ςε Hardware... 7 Xρονιςμόσ ςημάτων VGA Επαλήθευςη Χειριςμόσ Power/Utilization/Timing Βιβλιογραφία/links

3 O αλγόριθμοσ Ο απλοποιημένοσ ςυμμετρικόσ αλγόριθμοσ S-DES παίρνει ςαν είςοδο ένα 8-bit απλό κείμενο και ένα κλειδί 10-bit και παράγει ένα 8-bit κρυπτογράφημα ςαν έξοδο. Πχ Είςοδοσ: Κλειδί: Έξοδοσ : Ο S-DES εςωκλείει 5 ςυναρτήςεισ για τη διαδικαςία κρυπτογράφηςησ: Συνάρτηςη 1: αρχική αντιμετάθεςη (initial permutation, IP). Συνάρτηςη 2: ςύνθετη ςυνάρτηςη f k (περιλαμβάνει αντιμετάθεςη και αλλαγή και εξαρτάται από το κλειδί ειςόδου). Συνάρτηςη 3: απλή ςυνάρτηςη αντιμετάθεςη των δύο μιςών ειςόδου (switch, SW). Συνάρτηςη 4: τη ςύνθετη ςυνάρτηςη f k και πάλι. Συνάρτηςη 5: τελική αντιμετάθεςη, που είναι η αντίςτροφη τησ αρχικήσ αντιμετάθεςησ (IP -1 ). Επίςησ εςωκλείει 5 βήματα για την παραγωγή των δύο υποκλειδιών: Βήμα1 : αντιμετάθεςη P 10 Βήμα2: αριςτερή ολίςθηςη LS-1 Βήμα3: αντιμετάθεςη P 8 Βήμα4: Διπλή αριςτερή ολίςθηςη LS-2 Βήμα5: αντιμετάθεςη P 8 (ξανά). Σχηματικά αυτή η διαδικαςία φαίνεται ςτην παρακάτω εικόνα. 2

4 Οι διαδικαςίεσ P 8 και P 10 είναι απλέσ αντιμεταθέςεισ. Είναι φανερό ότι από το γράφημα χρηςιμοποιούνται δύο κλειδιά, το κλειδί K 1 και το κλειδί K 2, τα οποία προκύπτουν από το αρχικό κλειδί K. Το αρχικό κλειδί έχει εύροσ 10-bit, ενώ τα υποκλειδιά K 1 και K 2 έχουν εύροσ 8-bit το καθένα. 3

5 Η κρυπτογράφηςη τροφοδοτείται πρώτα με το υποκλειδί K 1 και ςτη ςυνέχεια με το υποκλειδί K 2. Η κρυπτογράφηςη μπορεί να εκφραςτεί ωσ εξήσ: C = IP -1 (f k2 (SW(f k1 (IP(m))))) όπου C είναι το κρυπτογράφημα και m to απλό κείμενο ενώ η ίδια διαδικαςία αντιςτρέφοντασ τα κλειδιά που χρηςιμοποιούνται δίνει το αρχικό μήνυμα. Η παραγωγή των υποκλειδιών αναλύεται : Κ 1 = P8(Shift(P10(K))) K 2 = P8(Shift(Shift(P10(K)))) Figure 1 - Παραγωγή υποκλειδιών 4

6 Η κρυπτογράφηςη του S-DES αποτελείται από δύο ςυνεχόμενα βήματα όπου γίνεται διπλή εφαρμογή τησ ςυνάρτηςησ f k, πρώτα με είςοδο το υποκλειδί Κ 1 και μετά με είςοδο το υποκλειδί Κ 2. Η ςυνάρτηςη f k μπορεί να περιγραφεί: f k (L,R) = (L XOR F(R,SK),R) Όπου: L: το αριςτερό 4-bit μέροσ του απλού μηνύματοσ. R: το δεξί 4-bit μέροσ του απλού μηνύματοσ. F: η ενδιάμεςη διαδικαςία αντιμετάθεςησ και μετατόπιςησ (ειςάγονται 4-bit και εξάγονται 4-bit). SK: το υποκλειδί Αρχικά το απλό μήνυμα των 8-bit ειςάγεται για κρυπτογράφηςη. Το αρχικό απλό μήνυμα αντιμετατίθεται ςύμφωνα με τη ςυνάρτηςη IP. Έπειτα το μήνυμα χωρίζεται ςτο αριςτερό (L) και ςτο δεξί (R) μέροσ του. Στη ςυνέχεια το δεξιό μέροσ R ειςάγεται ςτη ςυνάρτηςη Ε/P. H ςυνάρτηςη Ε/P λαμβάνει 4-bit είςοδο και παράγει 8-bit έξοδοσ. Τέλοσ η 8-bit έξοδοσ ςυνδυάζεται με το 8-bit υποκλειδί K 1 με την πράξη XOR. To αριςτερό μέροσ ειςάγεται ςτο κουτί S0 και το δεξιό ςτο κουτί S1. Τα κουτιά S0 και S1 δέχονται 4-bit ειςόδουσ και παράγουν 2-bit εξόδουσ. Τα κουτιά αποτελούν διςδιάςτατουσ πίνακεσ 4χ4 που περιέχουν δεκαδικούσ αριθμούσ από το 0 έωσ και 3. Η 4-bit είςοδοσ «ςπάει» ςτη μέςη και το πρώτο μέροσ δηλώνει το δεκαδικό αριθμό ςειράσ και το δεύτερο μέροσ δηλώνει το δεκαδικό αριθμό ςτήλησ. Έτςι επιλέγεται ωσ έξοδοσ η αντίςτοιχη εγγραφή του πίνακα. Έπειτα τα δύο τμήματα ειςάγονται ενοποιημένα ςτην ςυνάρτηςη αντιμετάθεςησ P 4. H ςυνάρτηςη P 4 δέχεται δύο τμήματα των 2-bit και εξάγει ένα 4-bit τμήμα. Αύτο το 4-bit τμήμα ςυνδυάζεται με πράξη XOR με το αρχικό τμήμα L το οποίο με την ςειρά του ειςάγεται ςτην ςυνάρτηςη SW ςαν αριςτερό μέλοσ. Σαν δεξιό μέλοσ ειςάγεται το τμήμα R που είχε δημιουργηθεί ςε προηγούμενο βήμα. Το αποτέλεςμα τησ SW μπαίνει ςε ακόμη μία ςυνάρτηςη f k χρηςιμοποιώντασ ωσ υποκλειδί το K 2. 5

7 Figure 2 - Η κρυπτογράφηςη ςχηματικά 6

8 Υλοποίηςη ςε Hardware H υλοποίηςη όπωσ φαίνεται και ςτην παρακάτω εικόνα ακολούθηςε ακριβώσ την τμηματική περιγραφή του αλγορίθμου. Αυτό ςημαίνει πωσ για κάθε ςυνάρτηςη που αναλύθηκε προηγουμένωσ δημιουργήθηκε μια αρχιτεκτονική. Έπειτα τα τμήματα ενώθηκαν χρηςιμοποιώντασ την τεχνική του pipelining χρηςιμοποιώντασ πεπεραςμένεσ μηχανέσ καταςτάςεων για την ακολουθιακή εκτέλεςη του αλγορίθμου. Η ςειρά εκτέλεςησ μπορεί εύκολα να αναγνωριςτεί από την ςχηματική περιγραφή. Figure 3 - sdes RTL Τα components που υλοποιήθηκαν με τισ ειςόδουσ/εξόδουσ τουσ: entity P10 is port (key: in std_logic_vector(0 to 9); p10: out std_logic_vector(0 to 9)); entity P8 is port (input: in std_logic_vector(0 to 9); permute8 : out std_logic_vector(0 to 7)); entity IP is 7

9 port (input: in std_logic_vector(0 to 7); ip : out std_logic_vector(0 to 7)); entity RIP is port (input: in std_logic_vector(0 to 7); rip : out std_logic_vector(0 to 7)); entity FKFSM is port (clk, rst, en: in std_logic; en_f: in std_logic; out_en: out std_logic); entity Fk is port (clk, rst, en: in std_logic; ip: in std_logic_vector(0 to 7); key : in std_logic_vector(0 to 7); fked : out std_logic_vector(0 to 7); outen_fk: out std_logic); entity SW is port (input: in std_logic_vector(0 to 7); switched : out std_logic_vector(0 to 7)); entity S0 is port(input: in std_logic_vector(0 to 3); output: out std_logic_vector(0 to 1)); entity S1 is port(input: in std_logic_vector(0 to 3); output: out std_logic_vector(0 to 1)); entity EP is port(input: in std_logic_vector(0 to 3); eped: out std_logic_vector(0 to 7)); entity P4 is port(input: in std_logic_vector(0 to 3); p4ed: out std_logic_vector(0 to 3)); entity FFSM is port (clk, rst, en_f: in std_logic; sig_ep, sig_xor, sig_s0s1: out std_logic; out_en: out std_logic); entity F is port (clk, rst, en_f:in std_logic; input: in std_logic_vector(0 to 3); 8

10 key: in std_logic_vector(0 to 7); fed : out std_logic_vector(0 to 3); outen_f: out std_logic); entity XOR8 is port( inp1: in std_logic_vector(0 to 7); inp2: in std_logic_vector(0 to 7); outp: out std_logic_vector(0 to 7)); entity XOR41 is port( inp1: in std_logic_vector(0 to 3); inp2: in std_logic_vector(0 to 3); outp: out std_logic_vector(0 to 3)); entity LS1 is port (input: in std_logic_vector(0 to 9); ls1 : out std_logic_vector(0 to 9)); entity LS2 is port (input: in std_logic_vector(0 to 9); ls2 : out std_logic_vector(0 to 9)); entity KFSM is port (clk, rst: in std_logic; sig_p10, sig_p8, sig_ls1, sig_ls2: out std_logic; out_en: out std_logic); entity KEYGEN is port (clk, rst: in std_logic; key10: in std_logic_vector(0 to 9); key2_8: out std_logic_vector(0 to 15); keys_ready: out std_logic); entity SFSM is port (clk, rst: in std_logic; sig_fk1, sig_fk2: in std_logic; sig_ip, sig_sw: out std_logic; out_en: out std_logic); entity sdes is port(clk, rst: std_logic; input :in std_logic_vector(0 to 7); key: in std_logic_vector(0 to 9); output: out std_logic_vector(0 to 7)); entity r_sdes is 9

11 port(clk, rst: std_logic; input :in std_logic_vector(0 to 7); key: in std_logic_vector(0 to 9); output: out std_logic_vector(0 to 7)); Η λειτουργία όλων των παραπάνω components αναφέρεται ςτο έγγραφο που ορίζεται ο αλγόριθμοσ. Για περιςςότερεσ λεπτομέρειεσ υπάρχει το αντίςτοιχο link ςτην βιβλιογραφία. Τα μόνα ςτοιχεία που δεν αναφέρονται είναι οι μηχανέσ καταςτάςεων. Η λειτουργία όλων αυτών είναι να ενεργοποιούν ακολουθιακά και ανάλογα με τουσ κύκλουσ ρολογιού κάποια ςήματα. Αυτά τα ςήματα ςτην ςυνέχεια ενεργοποιούν registers οι οποίοι αποθηκεύουν την τρέχουςα κατάςταςη ενόσ ςήματοσ ή μια ομάδασ ςημάτων. Έτςι εξαςφαλίζεται ότι θα είναι έτοιμα κάποια δεδομένα που απαιτούνται για την εκτέλεςη ενόσ βήματοσ που βρίςκεται πιο χαμηλά ςε μια υποτιθέμενη ςτοίβα εκτέλεςησ. Για την οπτικοποίηςη των αποτελεςμάτων χρηςιμοποιήθηκε η έξοδοσ vga οπότε υλοποιήθηκε ένασ controller που λειτουργεί ςε ανάλυςη Ο controller δέχεται ωσ είςοδο το μήνυμα το κλειδί το κρυπτογράφημα και την τρέχουςα θέςη προσ αλλαγή και εμφανίζει τα αποτελέςματα ςτην οθόνη χρηςιμοποιώντασ 4bit ανά χρώμα. Είςοδοι και έξοδοι του controller entity controller is port( clk50_in: in std_logic; input: inout std_logic_vector(0 to 17); red_out : out std_logic_vector(3 downto 0); green_out : out std_logic_vector(3 downto 0); blue_out : out std_logic_vector(3 downto 0); hs_out : out std_logic; vs_out : out std_logic; rotary_a : in std_logic; rotary_b : in std_logic; tgl_btn : in std_logic; reset : in std_logic); end controller; Τα γραφικά που εμφανίζονται δημιουργήθηκαν ςε ένα πρόγραμμα επεξεργαςίασ εικόνασ. Στην ςυγκεκριμένη περίπτωςη χρηςιμοποιήθηκε το GIMP. Δημιουργήθηκε το background που φαίνεται ςτην εικόνα και ςε ξεχωριςτά layers οι αριθμοί 0 και 1 με μέγεθοσ το εςωτερικό του κάθε κελιού που είναι 46χ61. 10

12 Figure 4 - GIMP ouput Έπειτα ςε όλεσ τισ εικόνεσ ορίςαμε ένα κατώφλι ώςτε να πάρουμε ςαν αποτέλεςμα μια εικόνα με δύο χρωματικούσ κώδικεσ. Εφόςον μιλάμε για rgb εικόνεσ αυτό είναι 0 και 255. Στην ςυνέχεια χρηςιμοποιήθηκε η matlab για την εξαγωγή του array. Συγκεκριμένα Πχ για την εικόνα του αριθμού 0 z = imread('z.png'); z = rgb2gray(z) ; z = z > 1; dlmwrite('zero.txt',z); Aυτό θα μασ δώςει ςαν έξοδο ένα αρχείο με 0 και 1 διαχωριςμένα με κόμμα. Έτςι αν χρηςιμοποιήςουμε το notepad++ πχ μπορούμε να αλλάξουμε τα 0 ςε 0, τα 1 ςε 1 και τα \n ςε ),\n( ώςτε να φτιάξουμε ένα vhdl array. 11

13 Αφού ορίςτηκαν οι επιθυμητοί πίνακεσ τότε χρηςιμοποιώντασ τα ςήματα hs και vs μπορούμε να ξέρουμε κάθε φορά ςε ποιο pixel βριςκόμαςτε και να το χρωματίςουμε ανάλογα. Ο vga controller ζωγραφίζει κάθε φορά την κύρια οθόνη και έπειτα ζωγραφίζει πάνω από αυτή τουσ χαρακτήρεσ ανάλογα με την είςοδο. Το ίδιο γίνεται και με τον κέρςορα. Xρονιςμόσ ςημάτων VGA Σύμφωνα με το datasheet για ανάλυςη 640χ480 προκύπτει ότι θα πρέπει να ορίςουμε τα ςήματα hsync και vsync ωσ εξήσ. Figure 5 - VGA control Timing 12

14 Figure 6 - Παράδειγμα Δηλαδή ένασ παλμόσ Τpw κάνει 96 κύκλουσ. To Tbp(back porch) κάνει 48 κύκλουσ. Ο χρόνοσ εκτύπωςησ είναι 640 κύκλοι ενώ το Tfp είναι 16 κύκλοι. Αυτό μασ κάνει ένα ςύνολο 800 κύκλων ώςτε να τυπώςουμε ςε μια οθόνη με 640 pixel μήκοσ. Αντίςτοιχα προκύπτει και ο χρονιςμόσ του vs. Τpw 1600 κύκλοι(1600/800 = 2 γραμμέσ). Τbp κύκλοι(29 γραμμέσ). Χρόνοσ εκτύπωςησ Τdisp (480 γραμμέσ) και Τfp 8000(10 γραμμέσ) κύκλοι. Άρα ένα ςύνολο 521 γραμμών χρειάζεται για τον χρονιςμό του vs. Έτςι ορίζοντασ έναν counter για το κάθε ένα μπορούμε να βρούμε ςε ποιο ακριβώσ pixel βριςκόμαςτε και να τυπώςουμε την κατάλληλη πληροφορία. Σύμφωνα με το ςχήμα δηλαδή το μόνο που έχουμε να κάνουμε είναι: 13

15 α) για το hs να δίνουμε ςτην έξοδο πάντα 1 εκτόσ από τoυσ κύκλουσ β) για το vs na δίνουμε ςτην έξοδο πάντα 1 εκτόσ από τουσ κύκλουσ 0-3. Επαλήθευςη Τέλοσ για να δούμε ότι πράγματι δουλεύουν όλα ςωςτά κάναμε μια επαλήθευςη με μια software υλοποίηςη του αλγορίθμου. Figure 7 - Επάληθευςη με software Figure 8 - H oπτικοποίηςη του αλγορίθμου 14

16 Figure 9 - προςομοίωςη ςτο ModelSim 15

17 Figure 10 - controller RTL Είςοδοι και έξοδοι vga_cntrl entity vga_cntrl is port(clk50_in : in std_logic; input : in std_logic_vector(0 to 17); selector: in std_logic_vector(0 to 17); cipher : in std_logic_vector(0 to 7); red_out : out std_logic_vector(3 downto 0); green_out : out std_logic_vector(3 downto 0); blue_out : out std_logic_vector(3 downto 0); hs_out : out std_logic; vs_out : out std_logic); end vga_cntrl; clk50_in : in std_logic; 50mhz clock input : in std_logic_vector(0 to 17); 16

18 Δεδομένα προσ εμφάνιςη. Τα πρώτα 8 bit αφορούν το μήνυμα και τα υπόλοιπα το κρυπτογράφημα. selector: in std_logic_vector(0 to 17); Ένα από τα 17 bit είναι ενεργό κάθε φορά. Δείχνει ςε ποια θέςη θα εμφανιςτεί ο κέρςορασ. cipher : in std_logic_vector(0 to 7); Δεδομένα προσ εμφάνιςη. Το κρυπτογράφημα. red_out : out std_logic_vector(3 downto 0); Έξοδοσ για το κανάλι R(red). green_out : out std_logic_vector(3 downto 0); Έξοδοσ για το κανάλι G(green). blue_out : out std_logic_vector(3 downto 0); Έξοδοσ για το κανάλι B(blue). hs_out : out std_logic; Έξοδοσ horizontal sync. vs_out : out std_logic Έξοδοσ vertical sync. Είςοδοι και έξοδοι rotary_cntrl entity rotary_cntrl port ( outp : out std_logic_vector(0 to 17); toggle_btn : in std_logic; input : inout std_logic_vector(0 to 17); rotary_a : in std_logic; rotary_b : in std_logic; clk : in std_logic); end entity; outp : out std_logic_vector(0 to 17); Ένα από τα 17 bit είναι ενεργό κάθε φορά. Δείχνει ςε ποια θέςη θα εμφανιςτεί ο κέρςορασ. 17

19 toggle_btn : in std_logic; Αλλάζει κατάςταςη ςτο επιλεγμένο bit. input : inout std_logic_vector(0 to 17); Τα πρώτα 8 bit αφορούν το μήνυμα και τα υπόλοιπα το κρυπτογράφημα. rotary_a : in std_logic; rotary_b : in std_logic; Σήματα για ανίχνευςη κίνηςησ του rotary knob. Είςοδοι και έξοδοι sdes entity sdes is port(clk, rst: std_logic; input :in std_logic_vector(0 to 7); key: in std_logic_vector(0 to 9); output: out std_logic_vector(0 to 7)); input :in std_logic_vector(0 to 7); Το 8 bit μήνυμα προσ κρυπτογράφηςη. key: in std_logic_vector(0 to 9); Το κλειδί που θα χρηςιμοποιηθεί. output: out std_logic_vector(0 to 7)); Το 8 bit κρυπτογράφημα. Χειριςμόσ Η λειτουργία του αλγορίθμου είναι πολύ απλή. Χρηςιμοποιούμε το rotary knob ώςτε να επιλέξουμε ποιο bit θέλουμε να τροποποιήςουμε. Αυτό γίνεται ορατό από τον κέρςορα που βρίςκεται κάτω από την τρέχουςα θέςη. Έπειτα χρηςιμοποιούμε το btn_south για να αλλάξουμε κατάςταςη ςτο bit. Αφού έχουμε βάλει τισ επιθυμητέσ ειςόδουσ για τον υπολογιςμό του κρυπτογραφήματοσ πρέπει να θέςουμε τον διακόπτη SW3 ςε θέςη logic 1. Η ίδια διαδικαςία μπορεί να γίνει πολλέσ φορέσ αρκεί πρώτα να κάνουμε reset τον αλγόριθμο θέτοντασ τον διακόπτη SW3 ςε θέςη logic 0. 18

20 Figure 11 - Χειριςμόσ 19

21 Power/Utilization/Timing Οι παρακάτω τιμέσ που αναφέρονται έχουν πραγματοποιηθεί με design goal: balanced ςτο ISE H μεγαλύτερη ςυχνότητα επιτεύχθηκε με αυτή την επιλογή (105mhz). H ίδια ςυχνότητα προκύπτει και για minimum runtime. H επιλογή για power optimization ρίχνει την ςυχνότητα ςτα 90mhz. Figure 12 - Utilization summary Figure 13 - Power analysis 20

22 Figure 14 - Delay/Frequency Βιβλιογραφία/links ace.zip 21

ΕΠΛ 674: Εργαστήριο 2 Ο απλοποιημένος αλγόριθμος κρυπτογράφησης S-DES

ΕΠΛ 674: Εργαστήριο 2 Ο απλοποιημένος αλγόριθμος κρυπτογράφησης S-DES ΕΠΛ 674: Εργαστήριο 2 Ο απλοποιημένος αλγόριθμος κρυπτογράφησης S-DES Παύλος Αντωνίου Εαρινό Εξάμηνο 2011 Department of Computer Science 1 S-DES Γενικά (1) Ο απλοποιημένος συμμετρικός αλγόριθμος S- DES.

Διαβάστε περισσότερα

ΕΠΛ 475: Εργαστήριο 2 Ο απλοποιημένος αλγόριθμος κρυπτογράφησης S-DES

ΕΠΛ 475: Εργαστήριο 2 Ο απλοποιημένος αλγόριθμος κρυπτογράφησης S-DES ΕΠΛ 475: Εργαστήριο 2 Ο απλοποιημένος αλγόριθμος κρυπτογράφησης S-DES ρ. Παύλος Αντωνίου Department of Computer Science 1 S-DES Γενικά (1) Ο αλγόριθμος DES χρησιμοποιεί κλειδιά μεγέθους 56 bit Ο απλοποιημένος

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ 2016 2017 Χ. Βέργος Καθηγητής ΕΡΓΑΣΙΑ ΕΞΑΜΗΝΟΥ Σκοπός της φετινής εργασίας εξαμήνου είναι η σχεδίαση ενός Συστήματος Απεικόνισης Χαρακτήρων

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 216-217 Συντρέχων Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών Θέμα Β.1: Απλός καταχωρητής 1 bit (D Flip-Flop) preset D D Q Q clk clear Σχήμα 2.1: D Flip-Flop με εισόδους preset και clear Με τη

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ Χ. Βέργος Καθηγητής

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ Χ. Βέργος Καθηγητής ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ 2013 2014 Χ. Βέργος Καθηγητής ΕΡΓΑΣΙΑ ΕΞΑΜΗΝΟΥ Σκοπός της φετινής εργασίας εξαμήνου είναι η σχεδίαση ενός Συστήματος Απεικόνισης Χαρακτήρων

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 1 (2 εβδοµάδες) Εβδοµάδα 6/11 έως 10/11 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων

ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Ελεγκτής VGA οθόνης και αντιμετώπιση μεγαλύτερων κυκλωμάτων Συνεχίζοντας από την 3 η άσκηση,

Διαβάστε περισσότερα

Structural VHDL. Structural VHDL

Structural VHDL. Structural VHDL Structural VHDL Παράδειγμα Multiplexer Component Component specification Port map command Unconnected outputs Unconnected inputs Generic map command Generate command Configuration Παράδειγμα 4-bit Adder

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 2 (2 εβδοµάδες) Εβδοµάδα 27/11 έως 01/12 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 VHDL, Χαρακτηριστικά και τρόποι περιγραφής Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Τμήμα Μηχανικών Πληροφορικής ΤΕ http://diceslab.cied.teiwest.gr

Διαβάστε περισσότερα

Υπολογιστική Θεωρία Αριθμών και Κρυπτογραφία

Υπολογιστική Θεωρία Αριθμών και Κρυπτογραφία Υπολογιστική Θεωρία Αριθμών και Κρυπτογραφία Συμμετρικά κρυπτοσυστήματα Άρης Παγουρτζής Στάθης Ζάχος Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Σχολή ΗΜΜΥ ΕΜΠ 1

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Συνδυαστικό Κυκλωμα: Το κύκλωμα του οποίου οι έξοδοι εξαρτώνται αποκλειστικά από τις τρέχουσες εισόδους του. Ακολουθιακό Κύκλωμα: Το κύκλωμα

Διαβάστε περισσότερα

Συμμετρικά κρυπτοσυστήματα

Συμμετρικά κρυπτοσυστήματα Υπολογιστική Θεωρία Αριθμών και Κρυπτογραφία Συμμετρικά κρυπτοσυστήματα Άρης Παγουρτζής Στάθης Ζάχος Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών - Μηχανικών Υπολογιστών Δίκτυα Feistel Σημαντικές

Διαβάστε περισσότερα

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ. ΤΕΙ ΚΡΗΤΗΣ / ΠΑΡΑΡΤΗΜΑ ΧΑΝΙΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ mode mode(0) ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ Εµµανουήλ Καπαρού Επιβλέπων : ρ Μηχ Νικόλαος

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

Library, package και subprograms

Library, package και subprograms Library, package και subprograms Libraries Packages Subprograms Procedures Functions Overloading Αριθμητικά πακέτα Type conversion Shift operators Παράδειγμα Library - Package Ασκήσεις-Προβλήματα 12/8/2009

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Χρησιμοποιούμε τις μηχανές πεπερασμένων καταστάσεων (finite state machines FSMs) για την μοντελοποίηση της συμπεριφοράς ενός κυκλώματος, η

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Περιγραφή Κυκλωμάτων με χρήση της VHDL Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Οργάνωση Παρουσίασης Περιγραφή Δομής σε VHDL (Structural Description) Μηχανές Πεπερασμένων Καταστάσεων

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS

ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS Αντικείμενο της άσκησης: Η σχεδίαση και λειτουργία συστημάτων προσωρινής αποθήκευσης (Kαταχωρητές- Registers). Για την αποθήκευση μιας πληροφορίας του ενός ψηφίου (bit)

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Τύποι Δεδομένων και Τελεστές Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr Αντίρριο

Διαβάστε περισσότερα

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Δημήτρης Κωνσταντίνου, Γιώργος Δημητρακόπουλος Εφόσον έχουμε περιγράψει το κύκλωμά μας σε System Verilog θα πρέπει να βεβαιωθούμε πως λειτουργεί

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

Σχεδιασμός εκτυπώσεων ERG

Σχεδιασμός εκτυπώσεων ERG Σχεδιασμός εκτυπώσεων ERG Περιεχόμενα Δημιουργία εκτυπώσεων ERG... 3 Επιλογή πεδίων... 4 Λεπτομέρειες... 6 Καθορισμός φίλτρων... 6 Ταξινόμηση και ομαδοποίηση... 7 Εξαγόμενο εκτύπωσης... 7 Δικαιώματα πρόσβασης...

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 4 η Εργαστηριακή Άσκηση Περιγραφή Κυκλωμάτων με Ακολουθιακές Εντολές Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας- Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ430- Εργαστήριο Ψηφιακών Κυκλωμάτων

Πανεπιστήμιο Θεσσαλίας- Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ430- Εργαστήριο Ψηφιακών Κυκλωμάτων Πανεπιστήμιο Θεσσαλίας- Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ430- Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο- Ακαδημαϊκό Ετος 2018-2019 Εργαστηριακή Εργασία 3η- Υλοποίηση Ελεγκτή

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ Θεωρητικό Μέρος Οι σειριακές λειτουργίες είναι πιο

Διαβάστε περισσότερα

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι Εισαγωγή στη VHDL Εισαγωγή Very High Speed Integrated Circuits Hardware Description Language ιαφορές από γλώσσες προγραμματισμού: παράλληλη εκτέλεση εντολών προσδιορισμός

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

Πρόγραμμα Μεταπτυχιακών Σπουδών «Πληροφορική και Εφαρμογές»

Πρόγραμμα Μεταπτυχιακών Σπουδών «Πληροφορική και Εφαρμογές» Πρόγραμμα Μεταπτυχιακών Σπουδών «Πληροφορική και Εφαρμογές» Αρχές Ψηφιακής Τεχνολογίας Σχεδιασμός σύνθετων συστημάτων Γιάννης Βογιατζής 28-29 Βασικές λογικές πύλες = Driver = AND = + OR = XOR = Inverter

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ 2014 2015 ΕΡΓΑΣΙΑ ΕΞΑΜΗΝΟΥ Σκοπός της φετινής εργασίας εξαμήνου είναι η σχεδίαση ενός Συστήματος Εισαγωγής & Απεικόνισης Χαρακτήρων (στο

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 13 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Ανάπτυξη εφαρμογών σε προγραμματιστικό περιβάλλον. τελική επανάληψη /4/2015 1

Ανάπτυξη εφαρμογών σε προγραμματιστικό περιβάλλον. τελική επανάληψη /4/2015 1 Ανάπτυξη εφαρμογών σε προγραμματιστικό περιβάλλον τελική επανάληψη 2015 7/4/2015 1 Α -Β θέμα 40Μ+20Μ Ορθά συντακτικώς γραμμένες προτάσεις, λέξεις κλειδιά, ολοκληρωμένες φράσεις Χρήση κριτικής σκέψης σε

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΗΛΕΚΤΡΟΝΙΚΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΟΜΑ Α Α Αριθµητική Λογική Μονάδα των 8-bit 1. Εισαγωγή Γενικά µια αριθµητική λογική µονάδα (ALU, Arithmetic Logic Unit)

Διαβάστε περισσότερα

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ενότητα 3: Καταχωρητές - Απαριθμητές Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

ς Ποιότητα ξιολόγηση Α

ς Ποιότητα ξιολόγηση Α Αξιολόγηση Ποιότητας Μέτρα Αξιολόγησης Τα µέτρα αξιολόγησης είναι απαραίτητα κατά την διαδικασία της σύνθεσης. Τα ακριβή µέτρα καθορίζουν την ποιότητα του τελικού κυκλώµατος και εντοπίζουν προβλήµατα.

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ Θεωρητικό

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΕΦΑΛΑΙΟ 7ο ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Γενικό διάγραμμα υπολογιστικού συστήματος Γενικό διάγραμμα υπολογιστικού συστήματος - Κεντρική Μονάδα Επεξεργασίας ονομάζουμε

Διαβάστε περισσότερα

Σχεδιασμός εκτυπώσεων ERG

Σχεδιασμός εκτυπώσεων ERG Σχεδιασμός εκτυπώσεων ERG Περιεχόμενα Δημιουργία και διαχείριση εκτυπώσεων ERG... 3 Επιλογή πεδίων... 4 Λεπτομέρειες... 6 Καθορισμός φίλτρων... 6 Ταξινόμηση και ομαδοποίηση... 7 Εξαγόμενο εκτύπωσης...

Διαβάστε περισσότερα

ModellingSpace. Εγχειρίδιο Χρήστη

ModellingSpace. Εγχειρίδιο Χρήστη ModellingSpace Εγχειρίδιο Χρήστη 1 Βασική ιδέα Η βασική ιδέα, που αποτελεί την βάση για το λογισμικό, είναι το μοντέλο. Ένα μοντέλο είναι μία ομάδα υποθέσεων που προσπαθεί να είναι αναπαράσταση του πραγματικού

Διαβάστε περισσότερα

Εισαγωγή στη Γλώσσα VHDL

Εισαγωγή στη Γλώσσα VHDL Εισαγωγή στη Γλώσσα VHDL Παράδειγμα and3 Entity και Architecture Entity Entity - Παραδείγματα Architecture VHDL simulation παραδείγματος and3 Παράδειγμα NAND VHDL simulation παραδείγματος nand Boolean

Διαβάστε περισσότερα

Κρυπτογραφία. Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας

Κρυπτογραφία. Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Κρυπτογραφία Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Block ciphers και ψευδοτυχαίες

Διαβάστε περισσότερα

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο,

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, 2016-2017 ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΕΣ Μικροϋπολογιστής Υπολογιστής που χρησιμοποιείται για την είσοδο, επεξεργασία και έξοδο πληροφοριών. Είδη μικροϋπολογιστών:

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Περίληψη VHDL Processes Εντολές If-Then Then-Else και CASE Περιγραφή Flip-Flop Flop με VHDL

Διαβάστε περισσότερα

Σχεδίαση Μισθοδοτικής Κατάστασης.

Σχεδίαση Μισθοδοτικής Κατάστασης. Σχεδίαση Μισθοδοτικής Κατάστασης. Το συγκεκριμένο εγχειρίδιο δημιουργήθηκε για να βοηθήσει την κατανόηση της διαδικασίας σχεδίασης μισθοδοτικής κατάστασης. Παρακάτω προτείνεται μια αλληλουχία ενεργειών

Διαβάστε περισσότερα

Α. Θα καλεί υποπρόγραμμα INPUT που θα διαβάζει τις τιμές του πίνακα MAP.

Α. Θα καλεί υποπρόγραμμα INPUT που θα διαβάζει τις τιμές του πίνακα MAP. Διαγώνισμα νάπτυξης Εφαρμογών Γ Λυκείου Θέμα Το GIS είναι ένα υπολογιστικό σύστημα το οποίο χρησιμοποιείται για την συλλογή, αποθήκευση και ανάλυση δεδομένων και πληροφοριών με γεωγραφική διάσταση. Ένα

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη

Διαβάστε περισσότερα

Κρυπτογραφία. Κρυπτοσυστήματα πακέτου (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας

Κρυπτογραφία. Κρυπτοσυστήματα πακέτου (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Κρυπτογραφία Κρυπτοσυστήματα πακέτου (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Block ciphers και ψευδοτυχαίες

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 5. Matlab GUI για FWSVM και Global SVM

ΚΕΦΑΛΑΙΟ 5. Matlab GUI για FWSVM και Global SVM ΚΕΦΑΛΑΙΟ 5 Matlab GUI για FWSVM και Global SVM Προκειμένου να γίνουν οι πειραματικές προσομοιώσεις του κεφαλαίου 4, αναπτύξαμε ένα γραφικό περιβάλλον (Graphical User Interface) που εξασφαλίζει την εύκολη

Διαβάστε περισσότερα

Περιεχόμενα ΓΕΩΠΥΛΗ ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ ΚΕΝΤΡΙΚΟ SITE. ΧΑΡΤΗΣ... 2 Είσοδος στην εφαρμογή «Χάρτης»... 2 Λειτουργίες εφαρμογής «Χάρτης»...

Περιεχόμενα ΓΕΩΠΥΛΗ ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ ΚΕΝΤΡΙΚΟ SITE. ΧΑΡΤΗΣ... 2 Είσοδος στην εφαρμογή «Χάρτης»... 2 Λειτουργίες εφαρμογής «Χάρτης»... Περιεχόμενα ΧΑΡΤΗΣ... 2 Είσοδος στην εφαρμογή «Χάρτης»... 2 Λειτουργίες εφαρμογής «Χάρτης»....2 Πλοήγηση στο χάρτη... 3 Σχεδίαση στο χάρτη... 4 Εκτύπωση του χάρτη... 6 Μετρήσεις επάνω στο χάρτη... 9 Εμφάνιση

Διαβάστε περισσότερα

Κρυπτογραφία. Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας

Κρυπτογραφία. Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Κρυπτογραφία Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Σχολή ΗΜΜΥ ΕΜΠ Κρυπτογραφία

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Προχωρημένα Θέματα Σχεδιασμού με VHDL Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Η γλώσσα περιγραφής υλικού (harware description language) VHDL είναι μια γλώσσα με την οποία μπορεί

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

Αναπαραγωγή με αρχεία ήχου

Αναπαραγωγή με αρχεία ήχου Αναπαραγωγή με αρχεία ήχου Ανοίγει η παρουσίαση και εμφανίζεται η διαφάνεια τίτλου, "Πειράματα με αρχεία ήχου". Άσκηση 1: Εισαγωγή ήχου για συνεχή αναπαραγωγή Βήμα 1: Εισαγωγή ήχου Στη διαφάνεια 1, με

Διαβάστε περισσότερα

Εισαγωγή στην Στατιστική (ΔΕ200Α-210Α)

Εισαγωγή στην Στατιστική (ΔΕ200Α-210Α) Τμήμα Διοίκησης Επιχειρήσεων (Αγ. Νικόλαος), Τ.Ε.Ι. Κρήτης Σελίδα 1 από 15 3η Εργαστηριακή Άσκηση Σκοπός: Η παρούσα εργαστηριακή άσκηση, χρησιμοποιώντας ως δεδομένα τα στοιχεία που προέκυψαν από την 1η

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

Προτεινόμενες εργασίες Προγραμματισμού Διαδικτύου

Προτεινόμενες εργασίες Προγραμματισμού Διαδικτύου Προτεινόμενες εργασίες Προγραμματισμού Διαδικτύου Ιωάννης Γ. Τσούλος Εργασία Πρώτη - Αριθμομηχανή Με την χρήση του περιβάλλοντος AWT ή του SWING θα πρέπει να δημιουργηθεί αριθμομηχανή για την εκτέλεση

Διαβάστε περισσότερα

Στοιχεία αρχιτεκτονικής μικροεπεξεργαστή

Στοιχεία αρχιτεκτονικής μικροεπεξεργαστή Στοιχεία αρχιτεκτονικής μικροεπεξεργαστή Αριθμός bit δίαυλου δεδομένων (Data Bus) Αριθμός bit δίαυλου διευθύνσεων (Address Bus) Μέγιστη συχνότητα λειτουργίας (Clock Frequency) Τύποι εντολών Αριθμητική

Διαβάστε περισσότερα

Βάσεις Δεδομένων 3η εργαστηριακή άσκηση

Βάσεις Δεδομένων 3η εργαστηριακή άσκηση Βάσεις Δεδομένων 3η εργαστηριακή άσκηση Εισαγωγή στο περιβάλλον της oracle Συσχέτιση πινάκων (εισαγωγή ξένων κλειδιών) Δρ. Μαρία Ευθυμιοπούλου 1. Εμφάνιση πινάκων στο Workspace Στο προηγούμενο εργαστήριο

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Γιώργος Ζάγγουλοςκαι Δρ. Παναγιώτα Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών n VHDL Processes Περίληψη n Εντολές If-Then-Else και CASE

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

Κρυπτογραφία. Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας

Κρυπτογραφία. Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Κρυπτογραφία Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Block ciphers (κρυπτοσυστήματα

Διαβάστε περισσότερα

Κεφάλαιο 1: Κίνηση και γεωμετρικά σχήματα

Κεφάλαιο 1: Κίνηση και γεωμετρικά σχήματα Ασκήσεις της Ενότητας 2 : Ζωγραφίζοντας με το ΒΥΟΒ -1- α. Η χρήση της πένας Κεφάλαιο 1: Κίνηση και γεωμετρικά σχήματα Υπάρχουν εντολές που μας επιτρέπουν να επιλέξουμε το χρώμα της πένας, καθώς και το

Διαβάστε περισσότερα

WiFi Ingenico IWL220

WiFi Ingenico IWL220 WiFi Ingenico IWL220 Πληροφορίες για την ενεργοποιηση του pos 7 ΠΕΡΙΕΧΟΜΕΝΟ Κουτίου 8 ΟΔΗΓΙΕΣ ΣΥΝΔεςης 9 OΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ WIFI 10 ΟΔΗΓΙΕΣ ΕΝΕΡΓΟΠοίηςης 12 ΟΔΗΓΙΕΣ ΓΙΑ ΕΠΙΒΕΒΑΙΩΣΗ TID 14 Χρήσιμες ΟΔΗΓΙΕΣ

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ 1) Το παρακάτω κύκλωμα του σχήματος 1 είναι ένας καταχωρητής-ολισθητής

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Εκτέλεση πράξεων

Διαβάστε περισσότερα

Κρυπτογραφία. Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας

Κρυπτογραφία. Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Κρυπτογραφία Κρυπτοσυστήματα τμήματος (Block ciphers) Άρης Παγουρτζής Στάθης Ζάχος Πέτρος Ποτίκας Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Σχολή ΗΜΜΥ ΕΜΠ 1 / 26

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

Πώς γίνεται το debug? Το debug γίνεται με δύο τρόπους, ως επί το πλείστον. Τουλάχιστον, εγώ δύο έμαθα, και αυτούς αναφέρω.

Πώς γίνεται το debug? Το debug γίνεται με δύο τρόπους, ως επί το πλείστον. Τουλάχιστον, εγώ δύο έμαθα, και αυτούς αναφέρω. Τι είναι το debug μαμα? Με απλά λόγια, debug (αποσφαλμάτωση αλλά που να κάθεσαι να το πεις), είναι η διαδικασία εντοπισμού και διόρθωσης σφαλμάτων που υπάρχουν σε κώδικα (ασχέτως γλώσσας προγραμματισμού).

Διαβάστε περισσότερα

Λίγα λόγια από το συγγραφέα...7

Λίγα λόγια από το συγγραφέα...7 Περιεχόμενα Λίγα λόγια από το συγγραφέα...7 Κεφάλαιο 1: Σχεδιασμός βάσης δεδομένων και δημιουργία πίνακα...9 Κεφάλαιο 2: Περαιτέρω τροποποίηση δομής πίνακα...41 Κεφάλαιο 3: Σχέσεις...84 Κεφάλαιο 4: Ερωτήματα...105

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy)

Διαβάστε περισσότερα

Σχεδίαση Μισθοδοτικής Κατάστασης

Σχεδίαση Μισθοδοτικής Κατάστασης Σχεδίαση Μισθοδοτικής Κατάστασης Το συγκεκριμένο εγχειρίδιο δημιουργήθηκε για να βοηθήσει την κατανόηση της Διαδικασίας Σχεδίασης Μισθοδοτικής Κατάστασης. Παρακάτω προτείνεται μια αλληλουχία ενεργειών

Διαβάστε περισσότερα

Πως να εισάγετε λίστες αναπαραγωγής διαφημίσεων

Πως να εισάγετε λίστες αναπαραγωγής διαφημίσεων JAZLER RADIOSTAR ΕΓΧΕΙΡΙΔΙΟ Πως να εισάγετε λίστες αναπαραγωγής διαφημίσεων - Ο οδηγός αυτός απευθύνεται σε έκδοση 2.8.10 ή μεγαλύτερη του Jazler RadioStar - Ο οδηγός αυτός προϋποθέτει βασικές γνώσεις

Διαβάστε περισσότερα

Εκτυπώσεις -> Ενσωματωμένες -> Νέες Μισθολογικές Εκτυπώσεις -> Νέα Μηνιαία Κατάσταση (3 γραμμές) Α3 (Οριζόντια) Α/Α 1037

Εκτυπώσεις -> Ενσωματωμένες -> Νέες Μισθολογικές Εκτυπώσεις -> Νέα Μηνιαία Κατάσταση (3 γραμμές) Α3 (Οριζόντια) Α/Α 1037 Εκτυπώσεις -> Ενσωματωμένες -> Νέες Μισθολογικές Εκτυπώσεις -> Νέα Μηνιαία Κατάσταση (3 γραμμές) Α3 (Οριζόντια) Α/Α 1037 Πρόκειται για εκτύπωση που απεικονίζει μία ή περισσότερες μισθοδοσίες μηνός, είτε

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

Introduction to IP Cores

Introduction to IP Cores Introduction to IP Cores Part 1: Digital Design -- Using IP Cores to Simplify Design Στον κόσµο του ψηφιακού σχεδίου, µπορούµε να χρησιµοποιήσουµε τις γλώσσες περιγραφής υλικού για να περιγράψουµε σύνθετες

Διαβάστε περισσότερα

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe Εργασία στα Ψηφιακά Ηλεκτρονικά Συστήματα 2013-2014 Θέμα: Κατασκευή και Ανάλυση Μετρητή 4-bit και Πλήρους Αθροιστή σε περιβάλλον VHDL Ονοματεπώνυμο: Αλέξανδρος Γεώργιος Μουντογιαννάκης Σχολή: Τμήμα Επιστήμης

Διαβάστε περισσότερα

Cubitech Hellas Ακροπόλεως 24, Καλλιθέα, Αθήνα Τ.Κ. 176 75, Ελλάδα, Τηλ. 210 9580887-8 Φαξ.2109580885

Cubitech Hellas Ακροπόλεως 24, Καλλιθέα, Αθήνα Τ.Κ. 176 75, Ελλάδα, Τηλ. 210 9580887-8 Φαξ.2109580885 CubisLITE Client Οδηγίες Χρήσεως Cubitech Hellas Ακροπόλεως 24, Καλλιθέα, Αθήνα Τ.Κ. 176 75, Ελλάδα, Τηλ. 210 9580887-8 Φαξ.2109580885 1 ΠΕΡΙΕΧΟΜΕΝΑ Γενικά 1. Τι είναι ο CubisLITE Server 2. Τι είναι ο

Διαβάστε περισσότερα

Ενότητα 14 Γραφικές Παραστάσεις

Ενότητα 14 Γραφικές Παραστάσεις Ενότητα 14 Γραφικές Παραστάσεις Ένα φύλλο εργασίας μπορεί να παρουσιάζει διάφορες έννοιες όπως διαφορές μεταξύ αριθμών, αλλαγή αριθμών σε συνάρτηση με το χρόνο. Μια οπτική εικόνα αυτών των σχέσεως είναι

Διαβάστε περισσότερα

ΠΑΡΑΡΤΗΜΑ Β. Verification

ΠΑΡΑΡΤΗΜΑ Β. Verification ΠΑΡΑΡΤΗΜΑ Β Ροή Σχεδίασης και VHDL Ροή Σχεδίασης Πριν περάσουµε σε περιγραφή της γλώσσας VHDL είναι χρήσιµο να δούµε το περιβάλλον και τη ροή της σχεδίασης. Τα βήµατα µιας σχεδίασης βασισµένης σε VHDL

Διαβάστε περισσότερα

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL) Προσοµοίωση Συστηµάτων µε VHDL (Peter Ashenden, The Students Guide to VHDL) Κώδικας VHDL Περιβάλλον Σχεδίασης Αναλυτής ιαχειριστής Βιβλιοθήκης Σχεδίασης Προσοµοιωτής Αντίστροφος Αναλυτής Βιβλιοθήκη Σχεδίασης

Διαβάστε περισσότερα

Εφαρμοσμένη Κρυπτογραφία Ι

Εφαρμοσμένη Κρυπτογραφία Ι Εφαρμοσμένη Κρυπτογραφία Ι Κωνσταντίνου Ελισάβετ ekonstantinou@aegean.gr http://www.icsd.aegean.gr/ekonstantinou Συνολικό Πλαίσιο Ασφάλεια ΠΕΣ Εμπιστευτικότητα Ακεραιότητα Πιστοποίηση Μη-αποποίηση Κρυπτογράφηση

Διαβάστε περισσότερα

UP class. & DES και AES

UP class. & DES και AES Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Σημειώσεις Διαλέξεων UP class & DES και AES Επιμέλεια σημειώσεων: Ιωάννης Νέμπαρης Μάριος Κουβαράς Διδάσκοντες: Στάθης Ζάχος

Διαβάστε περισσότερα

Ενδεικτική πολυ-εργασία 1 - εφαρμογή στην υπολογιστική όραση

Ενδεικτική πολυ-εργασία 1 - εφαρμογή στην υπολογιστική όραση Ενδεικτική πολυ-εργασία 1 - εφαρμογή στην υπολογιστική όραση Εντοπισμός ενός σήματος STOP σε μια εικόνα. Περιγράψτε τη διαδικασία με την οποία μπορώ να εντοπίσω απλά σε μια εικόνα την ύπαρξη του παρακάτω

Διαβάστε περισσότερα