Ενσωματωμένα Συστήματα

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Ενσωματωμένα Συστήματα"

Transcript

1 Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ενσωματωμένα Συστήματα Ενότητα 8: Απόδοση κεντρικής μονάδας επεξεργασίας. Μηχανισμοί Συστημάτων Μνήμης. Κατανάλωση Ενέργειας CPU. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

2 Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άλλου τύπου άδειας χρήσης, η άδεια χρήσης αναφέρεται ρητώς. 2

3 Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ψηφιακά Μαθήματα στο Πανεπιστήμιο Δυτικής Μακεδονίας» έχει χρηματοδοτήσει μόνο τη αναδιαμόρφωση του εκπαιδευτικού υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους. 3

4 Σκοπός ενότητας Η κατανόηση της σημαντικότητας της κρυφής μνήμης στα ενσωματωμένα συστήματα. Η κατανόηση των πλεονεκτημάτων και των μειονεκτημάτων των μονάδων διαχείρισης μνήμης και προστασίας μνήμης. Η παρουσίαση της διασωλήνωσης στα ενσωματωμένα συστήματα. 4

5 Κρυφές Μνήμες. Περίγραμμα διάλεξης Μονάδες διαχείρισης μνήμης και μετάφραση διεύθυνσης. Απόδοση CPU. Διοχέτευση. Υπερβαθμωτή Εκτέλεση. Κατανάλωση ενέργειας CPU. 5

6 Μνήμη & επεξεργαστές Δεν υπάρχει πια μόνο μια μονολιθική μνήμη. Υπάρχουν πολλά επίπεδα μνήμης (ιεραρχία μνήμης). Αυτό οφείλεται: Η απόδοση των επεξεργαστών αυξάνεται με πολύ γρηγορότερο ρυθμό από την απόδοση της μνήμης. Η πρόσβαση στην off-chip μνήμη είναι ακριβή (ενέργεια & χρόνος). Στην ιεραρχία μνήμης, όσο πιο κοντά βρίσκεται ένα επίπεδο στο CPU, τόσο πιο μικρό σε μέγεθος, πιο μεγάλο κόστος $ /bit, πιο μικρό κόστος πρόσβασης, πιο μικρό κόστος ενέργειας. 6

7 Κρυφή μνήμη & MMU Οι σύγχρονοι επεξεργαστές έχουν κρυφή μνήμη σε κάποια επίπεδα, ώστε να κερδίσουν σε ενέργεια και χρόνο. Επίσης, αν η μνήμη είναι μεγάλη σε μέγεθος, ή απαιτείται ιδιαίτερος έλεγχος (π.χ. προστασία), τότε χρησιμοποιείται η MMU για τη μετάφραση των σχετικών διευθύνσεων του επεξεργαστή, σε ένα μεγαλύτερο σύνολο από απόλυτες διευθύνσεις της φυσικής μνήμης. 7

8 Γενικά για την κρυφή μνήμη Η cache επιταχύνει το μέσο χρόνο προσπέλασης της μνήμης όταν χρησιμοποιηθεί κατάλληλα. Η cache είναι μνήμη SRAM εντός του IC. Έχει μεγάλο κόστος ανά bit (6Τ ανά bit + κυκλώματα σύγκρισης, ενώ η DRAM έχει 1Τ/bit). Αυξάνει τη μεταβλητότητα των χρόνων προσπέλασης της μνήμης. Πιο γρήγορη πρόσβαση σε δ/νσεις μνήμης που βρίσκονται στη cache (ως προς ανυπαρξία cache). Πιο αργή πρόσβαση σε δ/νσεις μνήμης που δε βρίσκονται στη cache (ως προς ανυπαρξία cache). Σε συγκεκριμένες περιπτώσεις (mission critical) αποφεύγεται η cache, εξαιτίας της μεταβλητότητας. 8

9 Η κρυφή μνήμη Μικρή. Γρήγορη. Κρατά αντίγραφα από κάποια περιεχόμενα της offchip. Κρατάει αντίγραφα για περιορισμένα δεδομένα. Η χρήση της cache έχει οφέλη, αν η CPU χρησιμοποιεί ένα σχετικά μικρό σύνολο θέσεων μνήμης (ονομάζεται working set). H αρχιτεκτονική της cache, περιέχει: Την κρυφή μνήμη. Τον ελεγκτή κρυφής μνήμης. 9

10 cache controller Caches and CPUs (1/4) CPU address data data cache address data main memory 10

11 cache controller Caches and CPUs (2/4) Ο ελεγκτής προωθεί την αίτηση πρόσβασης στην κρυφή μνήμη (και σε κάποιες αρχιτεκτονικές στην εξωτερική μνήμη). CPU address data data cache address data main memory 11

12 cache controller Caches and CPUs (3/4) Αν η διεύθυνση βρίσκεται στη cache, ευστοχία CPU address data data cache address data main memory 12

13 cache controller Caches and CPUs (4/4) Αν η διεύθυνση δε βρίσκεται στη cache, αστοχία CPU address data data cache address data main memory 13

14 Λειτουργία της cache Πολλαπλές δ/νσεις μνήμης απεικονίζονται σε μια γραμμή στη cache. Υπάρχουν caches για: instructions; data; data + instructions (unified). Ο χρόνος πρόσβασης στη μνήμη έχει μεγάλη μεταβλητότητα. Η cache έχει τα βέλτιστα οφέλη όταν κάθε χρονική περίοδο το πρόγραμμα χρησιμοποιεί ένα σχετικά μικρό σύνολο θέσεων μνήμης (working set) που χωράει στη cache. 14

15 Όροι που συνδέονται με την κρυφή μνήμη Cache hit (ευστοχία): η δ/νση που απαιτείται βρίσκεται στην κρυφή μνήμη. Cache miss (αστοχία): η δ/νση που απαιτείται δε βρίσκεται στην κρυφή μνήμη. Working set (σύνολο εργασίας): η ομάδα δ/νσεων που χρησιμοποιεί ένα πρόγραμμα σε ένα συγκεκριμένο χρονικό διάστημα. 15

16 Είδη αστοχιών Αναγκαστική - Compulsory (cold): η δ/νση δεν έχει προσπελαθεί ποτέ (πρώτη φορά μόνο). Χωρητικότητας - Capacity: υπερβολικά μεγάλο σύνολο εργασίας. Σύγκρουσης - Conflict: πολλαπλές θέσεις απεικονίζονται στην ίδια θέση μνήμης. 16

17 Απόδοση συστήματος μνήμης h = ρυθμός ευστοχίας (εξαρτάται από το πρόγραμμα, μπορεί να εκτιμηθεί). t cache = χρόνος πρόσβασης cache (1-5ns) t main = χρόνος πρόσβασης κυρίως μνήμης (50-60ns). Μέσο χρόνο προσπέλασης μνήμης: t av = ht cache + (1-h)t main T main 50-60ns, ενώ 2-3 ns το t cache 17

18 Πολλαπλά επίπεδα κρυφής μνήμης Χρησιμοποιείται ιεραρχία μνήμης. L1 μικρή + πιο γρήγορη, L2 μεγαλύτερη + πιο αργή. CPU L1 cache L2 cache Πάντα on-chip Συνήθως on-chip, αλλά μπορεί να είναι και off-chip 18

19 Χρόνος πρόσβασης κρυφής μνήμης πολλαπλών επιπέδων h 1 = ρυθμός ευστοχίας L1. h 2 = ρυθμός ευστοχίας L2. Μέσος χρόνος πρόσβασης μνήμης: t av = h 1 t L1 + (h 2 -h 1 )t L2 + (1- h 2 -h 1 )tmain 19

20 Οργάνωση κρυφής μνήμης Άμεσα απεικονιζόμενη (Direct-mapped): κάθε διεύθυνση μνήμης απεικονίζεται σε μια μόνο γραμμή στην κρυφή μνήμη. Συνειρμικότητα συνόλου (N-way set-associative): κάθε διεύθυνση μνήμης απεικονίζεται σε Ν γραμμές στην κρυφή μνήμη. Πλήρης συσχετιστική: κάθε διεύθυνση μνήμης απεικονίζεται σε όλες τις γραμμές στην κρυφή μνήμη (δεν υλοποιείται συνήθως). 20

21 Πολιτικές αντικατάστασης Πολιτική Αντικατάστασης (Replacement policy): η στρατηγική για την επιλογή της γραμμής που θα εκδιωχθεί για να δημιουργηθεί χώρος για μια νέα εγγραφή στη cache. Δεν υπάρχει αυτό το πρόβλημα στις κρυφές μνήμες απευθείας απεικόνισης. Δυο δημοφιλείς στρατηγικές: Τυχαία. Λιγότερο πρόσφατα χρησιμοποιούμενου (LRU). 21

22 Πλεονεκτήματα χρήσης κρυφής μνήμης Διατήρηση των προσφάτων προσβάσεων στη cache. Η κρυφή μνήμη μπορεί να μεταφέρει περισσότερες από μια λέξεις. Οι ακολουθιακές προσβάσεις είναι πιο γρήγορες μετά την πρώτη πρόσβαση. 22

23 Direct-mapped cache (1/3) Κάθε γραμμή της κρυφής μνήμης έχει: valid tag data tag index offset = hit value 23

24 Direct-mapped cache (2/3) Υπάρχει μόνο μια γραμμή που ελέγχεται. valid tag cache block data tag index offset = hit value 24

25 Direct-mapped cache (3/3) Αν στο data αποθηκεύονται πολλές λέξεις, τότε τα χαμηλότερα bit της διεύθυνσης χρησιμοποιούνται ως σχετική απόσταση. 1 0xabcd byte byte byte... valid tag data tag index offset = hit value byte 25

26 Λειτουργίες Εγγραφής Άμεσης εγγραφής (Write-through): κάθε εγγραφή αλλάζει τόσο την κρυφή μνήμη, όσο και την αντίστοιχη θέση της κύριας μνήμης. πάντα συνεπείς οι μνήμες, αλλά επιπλέον κυκλοφορία στο δίαυλο. Ετερόχρονης εγγραφής (Write-back): η εγγραφή στην κύρια μνήμη γίνεται μόνο αν απομακρυνθεί η αντίστοιχη γραμμή. Αναλόγως το πρόγραμμα και τις απαιτήσεις πλεονεκτεί είτε το πρώτο σχήμα, είτε το δεύτερο. 26

27 Η κρυφή μνήμη άμεσης απεικόνισης. Πολλαπλές δ/νσεις απεικονίζονται στην ίδια γραμμή. Γρήγορη, χαμηλού κόστους, μικρότερο hit rate, ενδεχόμενα conflicts. Πολύ εύκολα δημιουργούνται συγκρούσεις: 1024 cache lines Array a[] uses locations 0, 1, 2, Array b[] uses locations 1024, 1025, 1026, Operation a[i] + b[i] generates conflict misses. 27

28 Η κρυφή μνήμη συνειρμικότητας Αντιμετώπιση των conflict misses. Υπάρχουν n διαφορετικά μπλοκ για κάθε σύνολο θέσεων, υψηλότερο ρυθμό ευστοχίας. Υλοποιείται ως μια ομάδα κρυφών μνημών άμεσης απεικόνισης: 28

29 Η κρυφή μνήμη συνειρμικότητας: πλεονεκτήματα/μειονεκτήματα Επιπρόσθετη επιβάρυνση, λόγω πολλαπλών συγκρίσεων. Μεγαλύτερο κόστος πρόσβασης, λόγω πολλαπλών προσβάσεων. Υψηλότερος ρυθμός ευστοχίας. Πιο δύσκολη η ανάλυση της απόδοσης. Πιο δύσκολη η προβλεψιμότητα. 29

30 Παράδειγμα (1/6) Mνήμες άμεσης απεικόνισης προς κρυφές μνήμες συνειρμικότητας συνόλου Υποθέτουμε ότι: 1 bit διεύθυνσης tag, 2 MSB bit διεύθυνσης για καθορισμό γραμμής address data

31 Παράδειγμα (2/6) - Άμεση απεικόνιση After 001 access: After 010 access: block tag data block tag data

32 Παράδειγμα (3/6) - Άμεση απεικόνιση After 011 access: After 100 access: block tag data block tag data

33 Παράδειγμα (4/6) - Άμεση απεικόνιση After 101 access: After 111 access: block tag data block tag data

34 Παράδειγμα (5/6) - Κρυφή μνήμη 2 δρόμων Τελική εικόνα (αν υπάρχει διπλάσιο μέγεθος κρυφής μνήμης, πολιτική LRU): set blk 0 tag blk 0 data blk 1 tag blk 1 data

35 Παράδειγμα (6/6) - Κρυφή μνήμη 2 δρόμων Τελική εικόνα (αν υπάρχει ίδιο μέγεθος κρυφής μνήμης): set blk 0 tag blk 0 data blk 1 tag blk 1 data

36 Ενοποιημένη κρυφή μνήμη Η CPU γνωρίζει πότε προσκομίζει μια εντολή ή δεδομένα (λόγω ο μετρητής προγράμματος). Μπορούν να αποθηκευτούν στην κρυφή μνήμη, είτε δεδομένα (κρυφή μνήμη δεδομένων), είτε εντολές (κρυφή μνήμη εντολών), είτε και τα δυο (ενοποιημένη κρυφή μνήμη, unified cache). Σε ενοποιημένη κρυφή μνήμη το ποσοστό του χώρου που κατανέμεται σε εντολές ή δεδομένα, μπορεί να είναι είτε σταθερό είτε μεταβλητό. Οι εντολές έχουν καλύτερο ποσοστό ευστοχίας. 36

37 Παραδείγματα κρυφών μνημών σε ενσωματωμένα StrongARM: 16 Kbyte, 32-way, 32-byte block instruction cache. 16 Kbyte, 32-way, 32-byte block data cache (write-back). C55x: Various models have 16KB, 24KB cache. Can be used as scratch pad memory. 37

38 Μνήμες ελεύθερης πρόσβασης (scratch pad) Εναλλακτικές προς την κρυφή μνήμη. Ο προγραμματιστής καθορίζει τι αποθηκεύεται. Δεν υπάρχει ελεγκτής. Βρίσκεται on-chip. Παρέχει προβλέψιμη συμπεριφορά, με κόστος τον προσεκτικό σχεδιασμό του προγράμματος. Η κρυφή μνήμη του C55x μπορεί να ρυθμιστεί ως scratch pad. 38

39 Μονάδες διαχείρισης μνήμης (memory management unit) Μεταφράζει διευθύνσεις μεταξύ της CPU (σχετικές διευθύνσεις) και της φυσικής μνήμης (απόλυτες διευθύνσεις). Ονομάζεται και απεικόνιση μνήμης (memory mapping). Παρέχει και επιπρόσθετες λειτουργίες, όπως προστασία μνήμης. Απαραίτητο στοιχείο για τα σύγχρονα πολυδιεργασιακά ΛΣ. CPU logical address memory management unit physical address main memory 39

40 Μονάδες διαχείρισης μνήμης στους πρώτους υπολογιστές Οι παλαιότεροι υπολογιστές χρησιμοποιούσαν MMU για να αντισταθμίσουν τον περιορισμένο χώρο διευθύνσεων (address space) στα σύνολα εντολών τους (δε μπορούσαν να διευθυνσιοδοτήσουν μεγάλες μνήμες). Δεν υπάρχει πια αυτή η ανάγκη, όμως χρησιμοποιούνται για νέες λειτουργίες. Προστασία. Χρήση εικονικής μνήμης (και αρχείου επέκτασης φυσικής μνήμης). Θεματική εναλλαγή (αλλαγή διεργασίας), αφού απλοποιείται με μια αλλαγή πινάκων αντιστοίχησης. Υπάρχουν ενσωματωμένοι επεξεργαστές χωρίς MMU, γιατί η εικονική μνήμη απαιτεί δευτερεύουσα συσκευή αποθήκευσης, κάτι που απουσιάζει στα ΕΣ. 40

41 Οι λειτουργίες της μονάδας διαχείρισης Επιτρέπει τα προγράμματα να μετακινούνται (relocation) μέσα στη μνήμη (αλλαγή φυσικής διεύθυνσης) καθώς εκτελούνται (τα προγράμματα χρησιμοποιούν σχετικές διευθύνσεις, οποίες δεν αλλάζουν). Επιτρέπει τη χρήση εικονικής μνήμης (virtual memory): Τμήματα μνήμης αντιγράφονται σε δευτερεύουσα μνήμη; Τμήματα της μνήμης που βρίσκονται στη δευτερεύουσα μνήμη αντιγράφονται στην κυρίως μνήμη αν ζητηθούν (αν γίνει page fault...). 41

42 Σφάλμα σελίδας (page fault) Αίτηση για πρόσβαση σε διεύθυνση μνήμης που δε βρίσκεται στη φυσική μνήμη (γιατί έχει αντιγραφεί σε δευτερεύουσα μνήμη, όπως π.χ. swap file στο σκληρό δίσκο). Αν συμβεί σφάλμα σελίδας τότε η διεργασία σταματάει (blocked), και συνεχίζει από εκείνο το σημείο, μόνο όταν έχουν μεταφερθεί τα αντίστοιχα τμήματα στη μνήμη, και έχουν ενημερωθεί οι πίνακες της διεργασίας στη MMU. ΠΡΟΣΟΧΗ: Αν συμβεί page fault στο ΛΣ για κώδικα που εκτελείται σε kernel mode, τότε συνήθως το σύστημα κολλάει (bsod, panic, halt) γιατί το page fault χρησιμοποιεί IRQ μεγαλύτερο από το scheduler, και άρα δε μπορεί να χρονοδρομολογηθεί η μεταφορά της σελίδας από το swap στη RAM ή έχουν απενεργοποιηθεί τα interrupts (π.χ. FreeBSD: Fatal trap 12: page fault while in kernel mode, fault code = supervisor read, page not present, kernel trap 12 with interrupts disabled). 42

43 Μετάφραση Δ/νσεων Απαιτεί καταχωρητές ή πίνακες για να επιτρέψει την αντιστοίχηση διευθύνσεων λογικών σε φυσικών. Δυο βασικά στυλ μετάφρασης (διαφορετικά πλεονεκτήματα για την κάθε αρχιτεκτονική): Κατατμημένη (Segmented) (αυθαίρετο μέγεθος); Σελιδοποιημένη (συγκεκριμένο μέγεθος). Η κατάτμηση και η σελιδοποίηση μπορούν να συνδυαστούν (π.χ. x86). 43

44 Τμήματα και σελίδες (1/2) Με τις σελίδες επιτρέπεται η δυνατότητα του κατακερματισμού μνήμης (μη συνεχόμενης δέσμευσης χώρου). Με την κατάτμηση χρησιμοποιείται μια αυθαίρετα τυχαίου μεγέθους περιοχή της μνήμης. page 1 page 2 segment 1 memory segment 2 44

45 Τμήματα και σελίδες (2/2) Το τμήμα περιγράφεται από την αρχική διεύθυνση και το μέγεθος του. Οι σελίδες είναι ομοιόμορφου μεγέθους. Με το συνδυασμό: χρησιμοποιεί τμήματα και κάθε τμήμα είναι διαιρεμένο σε σελίδες (μετάφραση δυο βημάτων). Οι σελίδες επιτρέπουν τον κατακερματισμό, αφού μπορούν να είναι διεσπαρμένες στη φυσική μνήμη. 45

46 Μετάφραση διεύθυνσης για ένα τμήμα Χρησιμοποιείται ο καταχωρητής τμήματος και ανώτερου ορίου. segment base address logical address segment lower bound segment upper bound + range check range error physical address 46

47 Μετάφραση διεύθυνσης για μια σελίδα Η σχετική διεύθυνση χωρίζεται σε page και offset. Από το page γίνεται η αντιστοίχηση στον πίνακα σελίδων και εξάγεται το frame number. Το frame number μαζί με το offset δημιουργούν την απόλυτη διεύθυνση μνήμης. Ο πίνακας σελίδων είναι μεγάλος (βρίσκεται off-chip). page offset page i base concatenate frame offset Page size: 512-4ΚΒ 47

48 Οργάνωση πίνακα σελίδων Επιτρέπονται πολλαπλές διοργανώσεις. Επίπεδος (flat) ή δένδρο (tree). Το δένδρο επιτρέπει να δημιουργήσουμε μερικώς κατοικημένο (sparsed populated) δένδρο (που δεν υπάρχουν κάποιες σελίδες). 48

49 Μετάφραση διευθύνσεων και κρυφή μνήμη Μεγάλοι πίνακες σελίδων βρίσκονται στην κεντρική μνήμη. Απαιτείται πρόσβαση σε off-chip μνήμη. Μπορεί να χρησιμοποιηθεί ειδική κρυφή μνήμη που ονομάζεται TLB (Translation Lookaside Buffer). Κάθε φορά που ζητείται η μετάφραση, η MMU κοιτάει το TLB μήπως υπάρχει η συγκεκριμένη αντιστοίχηση. 49

50 Η υποστήριξη εικονικής μνήμης απαιτεί επιπρόσθετα bits Η εικονική μνήμη στα σύγχρονα ΛΣ, χρησιμοποιεί σελιδοποίηση ή κατατμημένη σελιδοποίηση, ώστε σε περίπτωση σφάλματος να είναι απαραίτητο να μεταφερθούν μόνο συγκεκριμένες σελίδες. Επιπρόσθετα bit Παρουσία (Present) bit (αν η σελίδα βρίσκεται στη RAM ή όχι). Ακάθαρτο (Dirty) bit (μας δείχνει αν η σελίδα/τμήμα έχει τροποποιηθεί και δεν έχει γραφεί στο δίσκο). Άδεια (Permission) bit (προστασία σελίδας, ανάγνωση, εγγραφή, εκτέλεση, όχι εκτέλεση, κοινόχρηστη, user page, supervise mode page, κτλ). 50

51 Μονάδα προστασίας μνήμης (MPU, Memory protection Unit) Η MPU είναι μια απλοποιημένη MMU. Ανιχνεύει άκυρες προσβάσεις σε μνήμη (μόνο). Η MPU υποστηρίζει: Περιοχές με προστασία. Περιοχές με υπερκάλυψη (overlapping). Προστασία πρόσβασης. Ενημέρωση των ιδιοχαρακτηριστικών από και προς το σύστημα. Υποστήριξη ποικίλων χαρακτηριστικών ανά τμήμα (Non-cacheable, Write back, write through, write allocate, read allocate, full access, no access, read/write, priviledge use only). 51

52 Η MMU δε χρησιμοποιείται συνήθως στα ενσωματωμένα σε αντίθεση με τη MPU Memory protection is needed for security/stability if you have multiple processes running in your system. An MMU is useless for deeply embedded systems running only a single multi threaded process. MMU slows down access to the physical memory and local bus, at least on the large processors like PowerPC or Intel. If you have a system that is mission critical, the use of an MPU is wise, and having an RTOS that provides API s to control it makes life easier. This way, each thread can have some guaranteed sections of memory. 52

53 ARM Προαιρετικό μέρος της αρχιτεκτονικής. Παρέχει: μετάφραση εικονικών δ/νσεων & προστασία. Υποχρεωτικό αν υπάρχει κρυφή μνήμη. Τύποι περιοχών μνήμης: section: 1 Mbyte block; large page: 64 kbytes; small page: 4 kbytes. Μια διεύθυνση είναι απεικονισμένη σε τμήμα ή σε σελίδα. Χρησιμοποιείται σχήμα δυο επιπέδων. 53

54 ARM address translation Translation table base register 1st index 2nd index offset descriptor 1st level table concatenate concatenate descriptor 2nd level table physical address 54

55 Απόδοση κεντρικής μονάδας επεξεργασίας 3 παράγοντες που επηρεάζουν σημαντικά την απόδοση ενός προγράμματος Κύκλος ρολογιού (Cycle time). Διοχέτευση (CPU pipeline). Σύστημα μνήμης. 55

56 Διοχέτευση (ή διασωλήνωση) Όλες οι σύγχρονες CPU σχεδιάζονται ως διοχετευμένες μηχανές. Πολλές εντολές εκτελούνται παράλληλα σε διαφορετικά στάδια ολοκλήρωσης. Μια διοχέτευση λειτουργεί καλύτερα όταν τα περιεχόμενα ρέουν ομαλά. Ποικίλες καταστάσεις μπορεί να δημιουργήσουν φυσαλίδες διοχέτευσης (pipeline bubbles), που μειώνουν την αποδοτικότητα: Αλλαγή ροής εκτέλεσης; Καθυστερήσεις συστήματος μνήμης; etc. 56

57 Μετρικά Κεντρικής Μονάδας Επεξεργασίας Καθυστέρηση ή λανθάνων χρόνος (Latency): χρόνος που απαιτείται για την πλήρη εκτέλεση μιας εντολής. Ρυθμοαπόδοση (Throughput): αριθμός των εντολών που εκτελούνται στη μονάδα του χρόνου. Η διοχέτευση αυξάνει τη ρυθμοαπόδοση χωρίς να μειώνεται η καθυστέρηση. Η υπερ-διοχέτευση αυξάνει την καθυστέρηση, λόγω τοποθέτησης πολλαπλών flip-flops. 57

58 Διοχέτευση ARM7 Το ARM 7 έχει διοχέτευση 3 σταδίων: προσκόμιση (fetch) η εντολή μεταφέρεται από την εξωτερική μνήμη; αποκωδικοποίηση (decode) κωδικού λειτουργίας (οpcode) και των παραμέτρων (operands); εκτέλεση (execute). Υποθέτοντας ότι το κάθε στάδιο της διασωλήνωσης απαιτεί ένα κύκλο ρολογιού, τότε μπορούμε να υπολογίσουμε: latency 3cycles, throughput 1instruction/cycle 58

59 Διοχετευμένη εκτέλεση εντολών στον ARM (1/6) Στα σχήματα σε μια κάθετη στήλη, φαίνονται όλες οι εντολές που βρίσκονται στη διοχέτευση σε ποιο στάδιο βρίσκονται. add r0,r1,#5 sub r2,r3,r6 cmp r2,# time 59

60 Διοχετευμένη εκτέλεση εντολών στον ARM (2/6) Ο ARM είναι RISC και σχεδιάστηκε να κρατάει απασχολημένη τη διοχέτευση. Εμφανίζουν λίγους κινδύνους διοχέτευσης. fetch add r0,r1,#5 sub r2,r3,r6 cmp r2,# time 60

61 Διοχετευμένη εκτέλεση εντολών στον ARM (3/6) Οι CISC έχουν ευρεία διακύμανση στο χρονισμό των εντολών. fetch decode add r0,r1,#5 sub r2,r3,r6 fetch cmp r2,# time 61

62 Διοχετευμένη εκτέλεση εντολών στον ARM (4/6) fetch decode execute add r0,r1,#5 sub r2,r3,r6 fetch decode cmp r2,#3 fetch time 62

63 Διοχετευμένη εκτέλεση εντολών στον ARM (5/6) fetch decode execute add r0,r1,#5 sub r2,r3,r6 fetch decode execute cmp r2,#3 fetch decode time 63

64 Διοχετευμένη εκτέλεση εντολών στον ARM (6/6) fetch decode execute add r0,r1,#5 sub r2,r3,r6 fetch decode execute cmp r2,#3 fetch decode execute time 64

65 Καθυστερήσεις διασωλήνωσης Αν υπάρχουν τμήματα που δε μπορούν να ολοκληρωθούν στον ίδιο χρόνο, τότε η διασωλήνωση σταματάει προσωρινά (καθυστερεί). Οι φυσαλίδες διασωλήνωσης που εισάγονται αυξάνουν τον λανθάνων χρόνο και μειώνουν την απόδοση. 65

66 Εντολές στον ARM που δεν ολοκληρώνονται σε 1 κύκλο (1/2) Ακόμη και σε RISC κάποιες εντολές απαιτούν περισσότερους κύκλους, όπως η εντολή πολλαπλής φόρτωσης. ldmia r0,{r2,r3} fetch decode ex ld r2 ex ld r3 sub r2,r3,r6 fetch decode ex sub cmp r2,#3 fetch decode ex cmp time 66

67 Εντολές στον ARM που δεν ολοκληρώνονται σε 1 κύκλο (2/2) ldmia r0,{r2,r3} fetch decode ex ld r2 ex ld r3 sub r2,r3,r6 fetch decode ex sub cmp r2,#3 fetch decode ex cmp time 67

68 Καθυστερήσεις λόγω ελέγχου Οι διακλαδώσεις μπορεί να εισάγουν καθυστέρηση (ποινή διακλάδωσης, branch penalty). Η ποινή εξαρτάται από το αν ακολουθήθηκε η διακλάδωση ή όχι. Αν ακολουθηθεί η διακλάδωση, θα πρέπει να απομακρυνθούν οι εντολές που εισήχθησαν στη διασωλήνωση. Αν είναι διακλάδωση υπό συνθήκη, τότε θα υπάρχει καθυστέρηση έως να αξιολογηθεί η συνθήκη. 68

69 Διοχετευμένη εκτέλεση μιας διακλάδωσης (1/2) Γίνεται αξιολόγηση της bne, και εισέρχεται η επόμενη εντολή προς εκτέλεση. bne foo fetch decode ex bne ex bne ex bne sub r2,r3,r6 fetch decode foo add r0,r1,r2 fetch decode ex add time 69

70 Διοχετευμένη εκτέλεση μιας διακλάδωσης (2/2) Επειδή ακολουθείται η διασωλήνωση, θα πρέπει να αδειάσει από τις εντολές που δεν έπρεπε να εκτελεστούν (εδώ: sub) bne foo fetch decode ex bne ex bne ex bne sub r2,r3,r6 fetch decode foo add r0,r1,r2 fetch decode ex add time 70

71 Καθυστερημένη διακλάδωση Για να αυξηθεί η απόδοση της διασωλήνωσης, χρησιμοποιείται η καθυστερημένη διασωλήνωση. Έτσι, κάποιος αριθμός εντολών αμέσως μετά τη διακλάδωση εκτελείται πάντα, είτε ληθφεί είτε δε ληφθεί η αλλαγή ροής. Οι εντολές που τοποθετούνται πρέπει να είναι έγκυρες, είτε ληφθεί είτε δε ληφθεί η διασωλήνωση. Η διασωλήνωση διατηρείται γεμάτη. Στη χειρότερη περίπτωση τοποθετούνται 1-2 εντολές nop. 71

72 Παράδειγμα 3.11: Χρόνος εκτέλεσης βρόχου στο ARM Να βρεθεί ο χρόνος εκτέλεσης του παρακάτω βρόχου: for (i=0; i<n; i++) f = f + c[i]*x[i]; Αναλύοντας την assembly (επόμενη διαφάνεια), παρατηρούμε ότι η μόνη εντολή που απαιτεί παραπάνω από 1 κύκλο είναι η εντολή αλλαγής ροής εκτέλεσης. BLT loop απαιτεί 1 κύκλο στην καλύτερη περίπτωση και 3 στη χειρότερη. 72

73 Ο κώδικας του FIR φίλτρου σε ARM assembly ;loop initiation code MOV r0,#0 ;use r0 for i, set to 0 MOV r8,#0 ;use a separate index for arrays ADR r2,n ;get address for N LDR r1,[r2] ;get value of N MOV r2,#0 ;use r2 for f, set to 0 ADR r3,c ;load r3 with address of base of c ADR r5,x ;load r5 with address of base of x ;loop body loop LDR r4,[r3,r8] ;get value of c[i] LDR r6,[r5,r8] ;get value of x[i] MUL r4,r4,r6 ;compute c[i]*x[i] ADD r2,r2,r4 ;add into running sum ;update loop counter and array index ADD r8,r8,#4 ;add one to array index ADD r0,r0,#1 ;add 1 to i ;test for exit CMP r0,r1 BLT loop ;if i < N, continue loop loopend... Η μόνη εντολή που μπορεί να πάρει περισσότερους από ένα κύκλους είναι η BLT 73

74 Ανάλυση εκτέλεσης του FIR Block Variable # instructions # cycles Initialization t init 7 7 Body t body 4 4 Update t update 2 2 Test t test 2 [2, 4] t loop = t init + N(t body + t update ) + (N-1) t test,worst + t test,best 74

75 Υπερβαθμωτή Εκτέλεση Βελτίωση της απόδοσης με την υπεβαθμωτή (superscalar) εκτέλεση. Οι υπερβαθμωτοί επεξεργαστές μπορούν να εκτελούν περισσότερες από μια εντολές σε κάθε κύκλο. Χρησιμοποιούν πολλαπλά διασωληνωμένα μονοπάτια εκτέλεσης. Τα προγράμματα εκτελούνται πιο γρήγορα. Αυξάνεται η πολυπλοκότητα υλικού. Δεν είναι δυνατή η παράλληλη εκτέλεση οποιοδήποτε εντολών. Απαιτείται έλεγχος εξαρτήσεων. 75

76 Εξαρτήσεις δεδομένων Ο χρόνος εκτέλεσης εξαρτάται από τις παραμέτρους και όχι μόνο από τον opcode. Δύσκολα να εκτιμηθεί με το χέρι. Απαιτείται προσομοίωση. Η CPU δε μπορεί να εκδώσει (issue) τόσες εντολές όσες θα ήθελε για να είναι πλήρως απασχολημένες όλες οι μονάδες. Η Superscalar CPU ελέγχει δυναμικά τις εξαρτήσεις κατάτ ο χρόνο εκτέλεσης (σε αντίθεση με VLIW, που οι εξαρτήσεις ελέγχονται από το compiler): data dependency add r2,r0,r1 add r3,r2,r5 r0 r2 r1 r3 r5 76

77 7 στάδια: Η διασωλήνωη στο C55x fetch; decode; address: computes data/branch addresses; access 1: reads data; access 2: finishes data read; read stage: puts operands on internal busses; execute. 77

78 Οργάνωση του C55x 3 data read busses 3 data read address busses program address bus program read bus 32 Instruction unit Program flow unit Address unit Data unit 2 data write busses 2 data write address busses

79 Οργάνωση του C55x: fetch 3 data read busses 3 data read address busses program address bus program read bus Instruction fetch 32 Instruction unit Program flow unit Address unit Data unit 2 data write busses 2 data write address busses

80 Οργάνωση του C55x: fetch operands 3 data read busses C, D busses 3 data read address busses program address bus program read bus Dual operand read 32 Instruction unit Program flow unit Address unit Data unit 2 data write busses 2 data write address busses

81 Οργάνωση του C55x: write 3 data read busses 3 data read address busses program address bus program read bus Writes 32 Instruction unit Program flow unit Address unit Data unit 2 data write busses 2 data write address busses

82 Οργάνωση του C55x: read single operand 3 data read busses D bus 3 data read address busses program address bus program read bus Single operand read 32 Instruction unit Program flow unit Address unit Data unit 2 data write busses 2 data write address busses

83 Δομή του C55x Δομή του επεξεργαστή: 3 μονάδες υπολογισμού. 14 λειτουργίες. Μπορεί να επιτελέσει δυο λειτουργίες ανά εντολή. Κάποιοι συνδυασμοί λειτουργιών δεν είναι έγκυροι. 83

84 Οι κίνδυνοι διασωλήνωσης στο C55x A-unit ALU/A-unit ALU. A-unit swap/a-unit swap. D-unit ALU,shifter,MAC/D-unit ALU,shifter,MAC. D-unit shifter/d-unit shift, store. D-unit shift, store/d-unit shift, store. D-unit swap/d-unit swap. P-unit control/p-unit control. 84

85 Απόδοση του συστήματος μνήμης Αν και είναι αόρατες στο μοντέλο προγραμματισμού έχουν βαθιά επίδραση στην απόδοση. Οι κρυφές μνήμες εισάγουν μη προβλεψιμότητα στο χρόνο εκτέλεσης. Εξαρτάται από τη σειρά εκτέλεσης. Ποινή αστοχίας της Cache (miss penalty): επιπρόσθετος χρόνος εξαιτίας μιας αστοχίας (συνήθως αρκετοί κύκλοι ρολογιού). Αρκετοί λόγοι για αστοχία: compulsory, conflict, capacity. 85

86 Κατανάλωση Ισχύος CPU Εξίσου σημαντική με την απόδοση και η κατανάλωση ισχύος. Οι σημερινές CPU σχεδιάζονται λαμβάνοντας υπόψιν την κατανάλωση ισχύος. Ενέργεια έναντι ισχύος: Ισχύς είναι η κατανάλωση ενέργειας ανά μονάδα χρόνου. Η παραγωγή θερμότητας εξαρτάται από την κατανάλωση ισχύος. Η διάρκεια ζωής της μπαταρίας εξαρτάται από την κατανάλωση ενέργειας. Συνήθως χρησιμοποιείται η ισχύς (power) και για τα δυο. 86

87 Κατανάλωση ισχύος σε CMOS Όλα τα ψηφιακά συστήματα χτίζονται με κυκλώματα CMOS (Complementary metal oxide semiconductor {MOS}). Βασικές πηγές κατανάλωσης ισχύος: Πτώσεις τάσης (voltage drops): η κατανάλωση ισχύος είναι ανάλογη με V 2 (βελτίωση με μείωση τάσης τροφοδοσίας, ίσως με προσθήκη παράλληλου υλικού για να διατηρηθεί η απόδοση). Αλλαγή κατάστασης εξόδων (toggling, dynamic): υπάρχει κατανάλωση όταν αλλάζει η τιμή της εξόδου (βελτίωση με εξάλειψη περιττών εναλλαγών ή glitches). Διαρροή (leakage, static): υπάρχει διαρροή μέσω υποστρώματος ακόμη και αν δεν αλλάζει κάτι); μπορεί να βελτιωθεί με την αποσύνδεση από τη τροφοδοσία (αλλά απαιτείται σημαντικό χρονικό διάστημα για την επανασύνδεση και εκ νέου αρχικοποίηση της εσωτερικής κατάστασης). 87

88 Στρατηγικές που ακολουθούνται για την εξοικονόμηση ενέργειας Μειωμένα επίπεδα τάσης (5V 3.3V, 5 2 /3.3 2 =2.29 ). Χαμηλότερη συχνότητα ρολογιού (μείωση ισχύς, όχι ενέργειας). Απενεργοποίηση λειτουργικών μονάδων με σήματα ελέγχου, όταν δε χρειάζονται. Αποσύνδεση των τμημάτων από την τροφοδοσία όταν δε χρειάζονται. 88

89 Διαχείριση ισχύος από το σύστημα Στατική (static): καλείται από το χρήστη, και δεν εξαρτάται από τις δραστηριότητες CPU. Π.χ. Εντολή για shutdown/hibernate. Δυναμική (dynamic): η CPU προβαίνει σε ενέργειες για να ελέγξει την ισχύ βασιζόμενη στη δυναμική δραστηριότητα. Π.χ. Απενεργοποίηση τμημάτων. 89

90 Στοιχεία χαμηλής κατανάλωσης ισχύος στο C55x Παράλληλες μονάδες εκτέλεσης---μεγαλύτερους χρόνους αδράνειας δια να απενεργοποιηθούν. Πολλαπλοί δίαυλοι δεδομένων: 16-bit ALU vs. 40-bit ALU. Η χρήση κρυφής μνήμης εντολών ελαχιστοποιεί τις προσβάσεις στη μνήμη. Διαχείριση ισχύος: Ανίχνευση αδρανών λειτουργικών μονάδων. Ανίχνευση αδράνειας στη μνήμη. Ρυθμιζόμενη από το χρήση/προγραμματιστή. 90

91 Στοιχεία χαμηλής κατανάλωσης για IBM PowerPC 603 (32bit) 1/3 Ειδικά σχεδιασμένος για ενσωματωμένα σύστημα με λειτουργία χαμηλής ισχύος, διατηρώντας παράλληλα την υψηλή απόδοση (2.2 80Mhz). 4 stage pipeline 5 execution units (integer unit, floating unit, branch prediction unit,load/store unit, registry/control unit) 1.6 million transistors 2 issue Out of order 91

92 Στοιχεία χαμηλής κατανάλωσης για IBM PowerPC 603 (32bit) 2/3 3 στατικές καταστάσεις λειτουργίας Provides doze, nap, sleep modes (2mW). Τεχνικές δυναμικής διαχείρισης ισχύος: Χρησιμοποιεί στατική λογική. Μπορεί να απενεργοποιήσει μονάδες εκτέλεσης. Η κρυφή μνήμη οργανώνεται σε υπο-πίνακες για να ελαχιστοποιείται η προσπέλαση. Γίνεται προσπέλαση μόνο 1-2 από τους 8. 92

93 Στοιχεία χαμηλής κατανάλωσης για IBM PowerPC 603 (32bit) 3/3 Ο επεξεργαστής έχει δυο τροφοδοσίες: Κυρίως VDD 3.3V (μπορεί να κλείσει για low power). Βοηθητικό VDDX 1.5V. Τρεις καταστάσεις λειτουργίας Run: κανονική λειτουργία. Idle: σταματάει το ρολόι. Λειτουργούν μόνο: χρονιστής,έλεγχος διακοπών,ι/ο, διαχειριστής ισχύος. Αν έρθει διακοπή τότε πηγάινει σε RUN. Sleep: απενεργοποιεί τα περισσότερα τμήματα; χρησιμοποιείται ρολόι χαμηλής συχνότητας. Γίνεται σε 3 βήματα, κάθε βήμα30 μs; η ενεργοποίηση απαιτεί > 10 ms. Υπάρχουν καταχωρητές ορατοί στα προγράμματα για να ελέγχουν και να αλλάζουν τις καταστάσεις. Το Strongarm, ARM V4 ISA, κατασκευάστηκε από DEC, αγοράστηκε το 1999 από την Intel και μετατράπηκε σε Xscale. 93

94 Ποσοστό χρόνου αδράνειας Unit Specint92 Specfp92 D cache 29% 28% I cache 29% 17% load/store 35% 17% fixed-point 38% 76% floating-point 99% 30% system register 89% 97% Οι αδρανείς μονάδες κλείνονται αυτόματα με το σβήσιμο των ρολογιών Τα διάφορα στάδια της διοχέτευσης ανοίγουν και κλείνουν. 94

95 Κόστος απενεργοποίησης Η μεταγωγή σε κατάσταση χαμηλής κατανάλωσης κοστίζει (για να ελεγχθεί η εσωτερική κατάσταση, ειδικά σε διοχέτευση): Χρόνο. Ενέργεια. Πρέπει να αποφασιστεί αν αξίζει η αλλαγή κατάστασης. Μπορεί να χρησιμοποιηθεί διάγραμμα καταστάσεων ενέργειας (power state machine). Κάθε κατάσταση συνδέεται στο διάγραμμα, συνδέεται με μια κατάσταση λειτουργίας του CPU. Η αλλαγή κατάστασης κοστίζει, γιατί απαιτείται να ελεγχθεί κατάλληλα η εσωτερική λογική της CPU (για να μην αλλοιωθούν τα δεδομένα). Η εκκίνηση πρέπει να γίνει προσεκτικά για να αποφευχθούν υπερτάσεις που θα προκαλέσουν δυσλειτουργία. 95

96 Μηχανή κατάστασης ισχύος του SA-1100 P run = 400 mw 10 s idle 10 s run 90 s 90 s 160 ms sleep P idle = 50 mw P sleep = 0.16 mw Παραμένουν λειτουργικές οι: Real-time clock, timer, interrupt control, general purpose I/O, power manager Κλείνουν σχεδόν όλες οι μονάδες. Μόνο η βοηθητική τροφοδοσία υπάρχει (με σήμα σε κατάλληλο pin απενεργοποιείται η βασική τροφοδοσία, βασικό ρολόι), ρολόι χαμηλής ταχύτητας για τη λειτουργία του διαχειριστή ενέργειας. 96

97 Βιβλιογραφία Χρησιμοποιήθηκε υλικό από παρουσιάσεις των: Wayne Wolf, Overheads for Computers as Components 1st,2nd ed.,2008, (παράγραφοι 3.5, 3.6, 3.7) 97

98 Τέλος Ενότητας 98

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ενσωματωμένα Συστήματα Ενότητα 8: Απόδοση κεντρικής μονάδας επεξεργασίας. Μηχανισμοί Συστημάτων Μνήμης. Κατανάλωση Ενέργειας CPU. Δρ. Μηνάς Δασυγένης mdasyg@ieee.org

Διαβάστε περισσότερα

Ενσωµατωµένα Υπολογιστικά Συστήµατα (Embedded Computer Systems)

Ενσωµατωµένα Υπολογιστικά Συστήµατα (Embedded Computer Systems) Ενσωµατωµένα Υπολογιστικά Συστήµατα (Embedded Computer Systems) Μαθηµα 2 ηµήτρης Λιούπης 1 Intel SA-1110 µc StrongARM core. System-on-Chip. Εξέλιξη των SA-110 και SA-1100. 2 ARM cores ARM: IP (intellectual

Διαβάστε περισσότερα

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 7ο μάθημα: Κρυφές μνήμες (cache) - εισαγωγή Αρης Ευθυμίου Πηγές διαφανειών: συνοδευτικές διαφάνειες αγγλικης εκδοσης του βιβλιου Σύστημα μνήμης! Η μνήμη είναι σημαντικό

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 7: Αποκωδικοποίηση Εντολής x86 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Εικονική Μνήμη (Virtual Μemory)

Εικονική Μνήμη (Virtual Μemory) ΗΥ 431 Αρχιτεκτονική Παραλλήλων Συστημάτων Διάλεξη 16 Εικονική Μνήμη (Virtual Μemory) Νίκος Μπέλλας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Απλό πείραμα int *data = malloc((1

Διαβάστε περισσότερα

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I MIPS Η MIPS (Microprocessor without Interlocked Pipeline Stages) είναι μία αρχιτεκτονική συνόλου εντολών (ISA) γλώσσας μηχανής που αναπτύχθηκε από την εταιρεία

Διαβάστε περισσότερα

Εικονική Μνήμη (Virtual Μemory)

Εικονική Μνήμη (Virtual Μemory) ΗΥ 232 Οργάνωση και Σχεδίαση Υπολογιστών Διάλεξη 16 Εικονική Μνήμη (Virtual Μemory) Νίκος Μπέλλας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Απλό πείραμα int *data = malloc((1

Διαβάστε περισσότερα

Εικονική Μνήμη (virtual memory)

Εικονική Μνήμη (virtual memory) Εικονική Μνήμη (virtual memory) Πολλά προγράμματα εκτελούνται ταυτόχρονα σε ένα υπολογιστή Η συνολική μνήμη που απαιτείται είναι μεγαλύτερη από το μέγεθος της RAM Αρχή τοπικότητας (η μνήμη χρησιμοποιείται

Διαβάστε περισσότερα

Λειτουργικά Συστήματα Η/Υ

Λειτουργικά Συστήματα Η/Υ Λειτουργικά Συστήματα Η/Υ Κεφάλαιο 8 «Ιδεατή Μνήμη» Διδάσκων: Δ. Λιαροκαπης Διαφάνειες: Π. Χατζηδούκας Ιδεατή Μνήμη Οργάνωση. Εισαγωγή. Ιδεατές και πραγματικές διευθύνσεις. Λογική οργάνωση. Τμηματοποίηση

Διαβάστε περισσότερα

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο,

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, 2016-2017 ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΕΣ Μικροϋπολογιστής Υπολογιστής που χρησιμοποιείται για την είσοδο, επεξεργασία και έξοδο πληροφοριών. Είδη μικροϋπολογιστών:

Διαβάστε περισσότερα

Επιπλέον διδακτικό υλικό κρυφών μνημών: set-associative caches, πολιτικές αντικατάστασης, χειρισμός εγγραφών

Επιπλέον διδακτικό υλικό κρυφών μνημών: set-associative caches, πολιτικές αντικατάστασης, χειρισμός εγγραφών ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ Οργάνωση Υπολογιστών Επιπλέον διδακτικό υλικό κρυφών μνημών: set-associative caches, πολιτικές αντικατάστασης, χειρισμός εγγραφών Μανόλης Γ.Η. Κατεβαίνης Τμήμα Επιστήμης

Διαβάστε περισσότερα

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy)

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) 1 Συστήματα Μνήμης Η οργάνωση του συστήματος μνήμης επηρεάζει τη λειτουργία και απόδοση ενός μικροεπεξεργαστή: Διαχείριση μνήμης και περιφερειακών (Ι/Ο) απότολειτουργικόσύστημα

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 11: Κρυφή Μνήμη Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 4 : Κρυφή Μνήμη Καρβούνης Ευάγγελος Δευτέρα, 30/11/2015 Χαρακτηριστικά Θέση Χωρητικότητα Μονάδα Μεταφοράς

Διαβάστε περισσότερα

Α. Δίνονται οι. (i) στη. πρέπει να. πιο. (ii) $a0. $s0 θα πρέπει να. αποθήκευση. αυξάνει τον. f: sub sll add sub jr. h: addi sw sw.

Α. Δίνονται οι. (i) στη. πρέπει να. πιο. (ii) $a0. $s0 θα πρέπει να. αποθήκευση. αυξάνει τον. f: sub sll add sub jr. h: addi sw sw. ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΡ ΙΟ ΥΠΟΛΟΟ ΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua. gr ΑΡΧΙΤΕΚΤΟΝΙΚΗ

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 5: Datapath x86. Παράδειγμα λειτουργίας υποθετικής αρχιτεκτονικής TOY86 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Αρχιτεκτονική Υπολογιστών Υποσύστημα μνήμης Διδάσκων: Επίκουρος Καθηγητής Αριστείδης Ευθυμίου Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες

Διαβάστε περισσότερα

Λειτουργικά Συστήματα

Λειτουργικά Συστήματα 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Λειτουργικά Συστήματα Ενότητα 9 : Ιδεατή Μνήμη 1/2 Δημήτριος Λιαροκάπης 2 Ανοιχτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Ηπείρου Τμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Κρυφές μνήμες

Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Κρυφές μνήμες Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Κρυφές μνήμες Αρης Ευθυμίου Το σημερινό μάθημα Κρυφές μνήμες (cache memory) Βασική οργάνωση, παράμετροι: γραμμές, συσχετιστικότητα, συνολική χωρητικότητα Επίδοση:

Διαβάστε περισσότερα

Ιεραρχία Μνήμης. Εικονική μνήμη (virtual memory) Επεκτείνοντας την Ιεραρχία Μνήμης. Εικονική Μνήμη. Μ.Στεφανιδάκης

Ιεραρχία Μνήμης. Εικονική μνήμη (virtual memory) Επεκτείνοντας την Ιεραρχία Μνήμης. Εικονική Μνήμη. Μ.Στεφανιδάκης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής ρχιτεκτονική Υπολογιστών 2016-17 Εικονική Μνήμη (και ο ρόλος της στην ιεραρχία μνήμης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Δευτερεύουσα μνήμη

Διαβάστε περισσότερα

ΠΛΕ- 027 Μικροεπεξεργαστές 9ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Κρυφές μνήμες εισαγωγή

ΠΛΕ- 027 Μικροεπεξεργαστές 9ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Κρυφές μνήμες εισαγωγή ΠΛΕ- 027 Μικροεπεξεργαστές 9ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Κρυφές μνήμες εισαγωγή Αρης Ευθυμίου Σύστημα μνήμης Η μνήμη είναι σημαντικό κομμάτι ενός υπολογιστή Επηρεάζει κόστος, ταχύτητα, κατανάλωση

Διαβάστε περισσότερα

ΠΛΕ- 027 Μικροεπεξεργαστές

ΠΛΕ- 027 Μικροεπεξεργαστές ΠΛΕ- 027 Μικροεπεξεργαστές 10ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Εικονική μνήμη, σχεδίαση αποδοτικής κρυφής μνήμης, προγραμματισμός για κρυφή μνήμη Αρης Ευθυμίου Εικονική μνήμη ως cache Η κύρια

Διαβάστε περισσότερα

1. Οργάνωση της CPU 2. Εκτέλεση εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο επίπεδο των επεξεργαστών

1. Οργάνωση της CPU 2. Εκτέλεση εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο επίπεδο των επεξεργαστών ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΟΡΓΑΝΩΣΗ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Ι Γ. Τσιατούχας 2 ο Κεφάλαιο ιάρθρωση 1. Οργάνωση της 2. εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο

Διαβάστε περισσότερα

Παραλληλισμός σε επίπεδο εντολών

Παραλληλισμός σε επίπεδο εντολών Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Παραλληλισμός σε επίπεδο εντολών (Pipelining και άλλες τεχνικές αύξησης απόδοσης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης

Διαβάστε περισσότερα

Κεφάλαιο 5. ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ -. Σερπάνος 2. Σημείωση

Κεφάλαιο 5. ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ -. Σερπάνος 2. Σημείωση Κεφάλαιο 5 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ -. Σερπάνος 1 Σημείωση Οι παρούσες διαφάνειες παρέχονται ως συμπλήρωμα διδασκαλίας για το μάθημα «Αρχιτεκτονική Υπολογιστών» του Τμήματος Ηλεκτρολόγων Μηχανικών & Τεχνολογίας

Διαβάστε περισσότερα

Κεντρική Μονάδα Επεξεργασίας

Κεντρική Μονάδα Επεξεργασίας Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κεντρική Μονάδα Επεξεργασίας (Σχεδιασμός και λειτουργία μιας απλής ΚΜΕ) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Ιεραρχία συχνά και το

Διαβάστε περισσότερα

Κεντρική Μονάδα Επεξεργασίας. Επανάληψη: Απόδοση ΚΜΕ. ΚΜΕ ενός κύκλου (single-cycle) Παραλληλισμός σε επίπεδο εντολών. Υπολογιστικό σύστημα

Κεντρική Μονάδα Επεξεργασίας. Επανάληψη: Απόδοση ΚΜΕ. ΚΜΕ ενός κύκλου (single-cycle) Παραλληλισμός σε επίπεδο εντολών. Υπολογιστικό σύστημα Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Παραλληλισμός σε επίπεδο εντολών (Pipelining και άλλες τεχνικές αύξησης απόδοσης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 11: Κρυφή Μνήμη Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών - Μηχανικών Υπολογιστών. Αρχιτεκτονική Υπολογιστών Νεκτάριος Κοζύρης.

Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών - Μηχανικών Υπολογιστών. Αρχιτεκτονική Υπολογιστών Νεκτάριος Κοζύρης. Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών - Μηχανικών Υπολογιστών Αρχιτεκτονική Υπολογιστών Νεκτάριος Κοζύρης Εικονική Μνήμη Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες

Διαβάστε περισσότερα

Διάλεξη 15 Απόδοση της Ιεραρχίας Μνήμης Βελτιστοποίηση της απόδοσης

Διάλεξη 15 Απόδοση της Ιεραρχίας Μνήμης Βελτιστοποίηση της απόδοσης ΗΥ 232 Οργάνωση και Σχεδίαση Υπολογιστών Διάλεξη 5 Απόδοση της Ιεραρχίας Μνήμης Βελτιστοποίηση της απόδοσης Νίκος Μπέλλας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Πόσο μεγάλη είναι μια μνήμη cache;

Διαβάστε περισσότερα

Μάθημα 8: Διαχείριση Μνήμης

Μάθημα 8: Διαχείριση Μνήμης Μάθημα 8: Διαχείριση Μνήμης 8.1 Κύρια και δευτερεύουσα μνήμη Κάθε μονάδα ενός υπολογιστή που χρησιμεύει για τη μόνιμη ή προσωρινή αποθήκευση δεδομένων ανήκει στην μνήμη (memory) του υπολογιστή. Οι μνήμες

Διαβάστε περισσότερα

ΕΘΝΙKΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Ονοματεπώνυμο: ΑΜ:

ΕΘΝΙKΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Ονοματεπώνυμο: ΑΜ: ΕΘΝΙKΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Ονοματεπώνυμο: ΑΜ: ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ (τμήμα Μ - Ω) Κανονική εξεταστική Φεβρουαρίου

Διαβάστε περισσότερα

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Για βελτίωση της απόδοσης

Διαβάστε περισσότερα

Προηγμένοι Μικροεπεξεργαστές. Paging & Segmentation

Προηγμένοι Μικροεπεξεργαστές. Paging & Segmentation Προηγμένοι Μικροεπεξεργαστές Paging & Segmentation Segmentation Τεχνική για σπάσουμε την μνήμη σε λογικά κομμάτια Κάθε κομμάτι αποθηκεύει πληροφορία σχετική με data segments for each process code segments

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 5: Datapath x86. Παράδειγμα λειτουργίας υποθετικής αρχιτεκτονικής TOY86 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών

Διαβάστε περισσότερα

Ασκήσεις Caches. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

Ασκήσεις Caches. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης Αρχιτεκτονική Υπολογιστών 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: 2014-2015 Νεκ. Κοζύρης nkoziris@cslab.ece.ntua.gr Ασκήσεις Caches http://www.cslab.ece.ntua.gr/courses/comparch/ Άδεια Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

i Όλες οι σύγχρονες ΚΜΕ είναι πολυπλοκότερες!

i Όλες οι σύγχρονες ΚΜΕ είναι πολυπλοκότερες! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κεντρική Επεξεργασίας (Σχεδιασμός και λειτουργία μιας απλής ΚΜΕ) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Η υπολογιστική

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Εικονική Μνήμη. (και ο ρόλος της στην ιεραρχία μνήμης)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Εικονική Μνήμη. (και ο ρόλος της στην ιεραρχία μνήμης) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2011-12 Εικονική (και ο ρόλος της στην ιεραρχία μνήμης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης Ιεραρχία η νέα τάση: [2011]

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 3 : Μια άποψη του κορυφαίου επιπέδου λειτουργίας και διασύνδεσης του υπολογιστή Καρβούνης Ευάγγελος Η έννοια

Διαβάστε περισσότερα

3 η ΑΣΚΗΣΗ ΑΡΧΙΤΕΚΤΟΝΙΚΗΣ ΥΠΟΛΟΓΙΣΤΩΝ

3 η ΑΣΚΗΣΗ ΑΡΧΙΤΕΚΤΟΝΙΚΗΣ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ntua.gr 3 η ΑΣΚΗΣΗ ΑΡΧΙΤΕΚΤΟΝΙΚΗΣ

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 13: (Μέρος Β ) Λειτουργικό Σύστημα Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ II. χειμερινό εξάμηνο & εαρινό εξάμηνο (σε κίτρινο υπόβαθρο)

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ II. χειμερινό εξάμηνο & εαρινό εξάμηνο (σε κίτρινο υπόβαθρο) I χειμερινό εξάμηνο & ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ II εαρινό εξάμηνο (σε κίτρινο υπόβαθρο). Νικολός Καθηγητής ΚΕΦΑΛΑΙΟ 1 Εισαγωγή στην ομή, Οργάνωση, Λειτουργία και Αξιολόγηση Υπολογιστών Ενότητα 1.1 Υλικό

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ Ε Ρ Γ ΑΣ Τ ΗΡ ΙΟ Υ ΠΟΛΟΓΙΣ Τ ΙΚΩΝ Σ Υ Σ Τ ΗΜΑΤΩΝ w w w. c s l ab.ece.ntua.gr

Διαβάστε περισσότερα

Multi Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

Multi Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης Αρχιτεκτονική Υπολογιστών 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: 2014-2015 Νεκ. Κοζύρης nkoziris@cslab.ece.ntua.gr Multi Cycle Datapath http://www.cslab.ece.ntua.gr/courses/comparch/ Άδεια Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 11-12 : Δομή και Λειτουργία της CPU Ευάγγελος Καρβούνης Παρασκευή, 22/01/2016 2 Οργάνωση της CPU Η CPU πρέπει:

Διαβάστε περισσότερα

Κεντρική Μονάδα Επεξεργασίας (ΚΜΕ) Τμήματα ΚΜΕ (CPU) Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (Ι)

Κεντρική Μονάδα Επεξεργασίας (ΚΜΕ) Τμήματα ΚΜΕ (CPU) Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (Ι) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2015-16 Οργάνωση Υπολογιστών (Ι) (η κεντρική μονάδα επεξεργασίας) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Ένα

Διαβάστε περισσότερα

Συστήματα σε Ολοκληρωμένα Κυκλώματα

Συστήματα σε Ολοκληρωμένα Κυκλώματα Συστήματα σε Ολοκληρωμένα Κυκλώματα Κεφάλαιο 4: Αρχιτεκτονική των Embedded Μικροεπεξεργαστών Διδάσκων: Καθηγητής Οδυσσέας Κουφοπαύλου Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών ΕΙΣΑΓΩΓΗ Παρουσιάζεται

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών (Ι)

Οργάνωση Υπολογιστών (Ι) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2014-15 Οργάνωση Υπολογιστών (Ι) (η κεντρική μονάδα επεξεργασίας) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Ένα

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών (ΙI)

Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2016-17 Οργάνωση Υπολογιστών (ΙI) (κύρια και κρυφή μνήμη) http://mixstef.github.io/courses/csintro/ Μ.Στεφανιδάκης Ένα τυπικό

Διαβάστε περισσότερα

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ Η Κεντρική Μονάδα Επεξεργασίας (Central Processing Unit -CPU) ή απλούστερα επεξεργαστής αποτελεί το μέρος του υλικού που εκτελεί τις εντολές ενός προγράμματος υπολογιστή

Διαβάστε περισσότερα

Εισαγωγή στα Λειτουργικά

Εισαγωγή στα Λειτουργικά Εισαγωγή στα Λειτουργικά Συστήματα Ενότητα 6: Διαχείριση Μνήμης Ι Γεώργιος Φ. Φραγκούλης Τμήμα Ηλεκτρολόγων Μηχανικών Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σεάδειες χρήσης Creative Commons.

Διαβάστε περισσότερα

ΠΛΕ- 027 Μικροεπεξεργαστές 6ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση με διοχέτευση

ΠΛΕ- 027 Μικροεπεξεργαστές 6ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση με διοχέτευση ΠΛΕ- 027 Μικροεπεξεργαστές 6ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση με διοχέτευση Αρης Ευθυμίου Απόδοση απλής υλοποίησης Υλοποίηση ενός κύκλου είναι πολύ αργή κάθε κύκλος είναι τόσο μεγάλος όσο χρειάζεται

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη των Υπολογιστών

Εισαγωγή στην Επιστήμη των Υπολογιστών Εισαγωγή στην Επιστήμη των Υπολογιστών Ενότητα 3: Χειρισμός δεδομένων, 2ΔΩ Τμήμα: Αγροτικής Οικονομίας & Ανάπτυξης Διδάσκων: Θεόδωρος Τσιλιγκιρίδης Μαθησιακοί Στόχοι Η Ενότητα 3 διαπραγματεύεται θέματα

Διαβάστε περισσότερα

Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Οργάνωση επεξεργαστή (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ταχύτητα εκτέλεσης Χρόνος εκτέλεσης = (αριθμός εντολών που εκτελούνται) Τί έχει σημασία: Χ (χρόνος εκτέλεσης εντολής) Αριθμός

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Αρχιτεκτονική Υπολογιστών Υποσύστημα μνήμης Διδάσκων: Επίκουρος Καθηγητής Αριστείδης Ευθυμίου Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες

Διαβάστε περισσότερα

Ασκήσεις Caches

Ασκήσεις Caches Ασκήσεις Caches 1 Άσκηση 1η Θεωρήστε ένα σύστημα μνήμης με μία cache: 4-way set associative μεγέθους 256ΚΒ, με cache line 8 λέξεων. Χαρακτηριστικά συστήματος μνήμης: μέγεθος της λέξης είναι 32 bits. 1

Διαβάστε περισσότερα

ΛΕΙΤΟΥΡΓΙΚΑ ΣΥΣΤΗΜΑΤΑ. Διαχείριση μνήμης Εργαστηριακές Ασκήσεις

ΛΕΙΤΟΥΡΓΙΚΑ ΣΥΣΤΗΜΑΤΑ. Διαχείριση μνήμης Εργαστηριακές Ασκήσεις ΛΕΙΤΟΥΡΓΙΚΑ ΣΥΣΤΗΜΑΤΑ Διαχείριση μνήμης Εργαστηριακές Ασκήσεις Υλικό από: Modern Operating Systems Laboratory Exercises, Shrivakan Mishra Σύνθεση Κ.Γ. Μαργαρίτης, Τμήμα Εφαρμοσμένης Πληροφορικής, Πανεπιστήμιο

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ ΤΕΛΙΚΟ ΔΙΑΓΩΝΙΣΜΑ ΣΤΗΝ ΟΡΓΑΝΩΣΗ ΣΤΟΥΣ Η/Y (ΗΥ232) Τετάρτη, 21 Δεκεμβρίου 2016 ΔΙΑΡΚΕΙΑ ΔΙΑΓΩΝΙΣΜΑΤΟΣ 3 ΩΡΕΣ Για πλήρη

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Αρχιτεκτονική υπολογιστών Ενότητα 12 : Δομή και Λειτουργία της CPU 2/2 Φώτης Βαρζιώτης 2 Ανοιχτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Τμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση. Κεφάλαιο 5. Μεγάλη και γρήγορη: Αξιοποίηση της ιεραρχίας της µνήµης

Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση. Κεφάλαιο 5. Μεγάλη και γρήγορη: Αξιοποίηση της ιεραρχίας της µνήµης Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση Κεφάλαιο 5 Μεγάλη και γρήγορη: Αξιοποίηση της ιεραρχίας της µνήµης Ασκήσεις Η αρίθµηση των ασκήσεων είναι από την 4 η έκδοση

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Απόδοση ΚΜΕ. (Μέτρηση και τεχνικές βελτίωσης απόδοσης)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Απόδοση ΚΜΕ. (Μέτρηση και τεχνικές βελτίωσης απόδοσης) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Απόδοση ΚΜΕ (Μέτρηση και τεχνικές βελτίωσης απόδοσης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κεντρική Μονάδα Επεξεργασίας

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 13: (Μέρος Α ) Ενσωματωμένα Συστήματα Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής

Διαβάστε περισσότερα

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI)

Κύρια μνήμη. Μοντέλο λειτουργίας μνήμης. Ένα τυπικό υπολογιστικό σύστημα σήμερα. Οργάνωση Υπολογιστών (ΙI) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 015-16 Οργάνωση Υπολογιστών (ΙI) (κύρια και ) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Ένα τυπικό υπολογιστικό

Διαβάστε περισσότερα

Chapter 6 Αύξηση της απόδοσης με διοχέτευση (pipeline)

Chapter 6 Αύξηση της απόδοσης με διοχέτευση (pipeline) Chapter 6 Αύξηση της απόδοσης με διοχέτευση (pipeline) Διαφάνειες διδασκαλίας από το πρωτότυπο αγγλικό βιβλίο (4 η έκδοση), μετάφραση: Καθ. Εφαρμογών Νικόλαος Πετράκης, Τμήματος Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Άσκηση 1η. Θεωρήστε ένα σύστημα μνήμης με μία cache: 4 way set associative μεγέθους 256ΚΒ,

Άσκηση 1η. Θεωρήστε ένα σύστημα μνήμης με μία cache: 4 way set associative μεγέθους 256ΚΒ, Ασκήσεις Caches Άσκηση 1η Θεωρήστε ένα σύστημα μνήμης με μία cache: 4 way set associative μεγέθους 256ΚΒ, με cache line 8 λέξεων. Χαρακτηριστικά συστήματος μνήμης: μέγεθος της λέξης είναι 32 bits. 1 byte

Διαβάστε περισσότερα

Τέτοιες λειτουργίες γίνονται διαμέσου του

Τέτοιες λειτουργίες γίνονται διαμέσου του Για κάθε εντολή υπάρχουν δυο βήματα που πρέπει να γίνουν: Προσκόμιση της εντολής (fetch) από τη θέση που δείχνει ο PC Ανάγνωση των περιεχομένων ενός ή δύο καταχωρητών Τέτοιες λειτουργίες γίνονται διαμέσου

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 6: Διασωλήνωση Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Αρχιτεκτονική Υπολογιστών Υποσύστημα μνήμης Διδάσκων: Επίκουρος Καθηγητής Αριστείδης Ευθυμίου Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες

Διαβάστε περισσότερα

Η ιεραρχία της μνήμης

Η ιεραρχία της μνήμης Η ιεραρχία της μνήμης Οι περιορισμοί στο σχεδιασμό της μνήμης συνοψίζονται σε τρεις ερωτήσεις : 1) Πόση 2) Πόσο γρήγορη 3) Πόσο ακριβή Ερωτήματα-Απαντήσεις Ερώτημα πόση μνήμη. Είναι ανοικτό. Αν υπάρχει

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών

Οργάνωση Υπολογιστών Οργάνωση Υπολογιστών Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών 1 Άδειες Χρήσης Το παρόν υλικό

Διαβάστε περισσότερα

Ασκήσεις Caches

Ασκήσεις Caches Ασκήσεις Caches 1 Άσκηση 1η Θεωρήστε ένα σύστημα μνήμης με μία cache: 4-way set associative μεγέθους 256ΚΒ, με cache line 8 λέξεων. Χαρακτηριστικά συστήματος μνήμης: μέγεθος της λέξης είναι 32 bits. 1

Διαβάστε περισσότερα

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ Γιώργος Δημητρίου Μάθημα 8 ο ΠΜΣ Εφαρμοσμένη Πληροφορική ΜΟΝΑΔΑ ΜΝΗΜΗΣ Επαρκής χωρητικότητα αποθήκευσης Αποδεκτό μέσο επίπεδο απόδοσης Χαμηλό μέσο κόστος ανά ψηφίο Ιεραρχία μνήμης

Διαβάστε περισσότερα

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 10ο μάθημα: Ορια παραλληλίας επιπέδου εντολής και πολυνηματικοί επεξεργαστές Αρης Ευθυμίου Πηγές διαφανειών: συνοδευτικές διαφάνειες αγγλικης εκδοσης του βιβλιου Ορια

Διαβάστε περισσότερα

Υ- 07 Παράλληλα Συστήματα Αρχιτεκτονική σύγχρονων πυρήνων επεξεργαστών

Υ- 07 Παράλληλα Συστήματα Αρχιτεκτονική σύγχρονων πυρήνων επεξεργαστών Υ- 07 Παράλληλα Συστήματα Αρχιτεκτονική σύγχρονων πυρήνων επεξεργαστών Αρης Ευθυμίου Διαδικαστικά Ιστοσελίδα μαθήματος: h:p://www.cs.uoi.gr/~plmy07/ Διαφάνειες μαθημάτων, κτλ 2 Γρήγορη εκτέλεση σειριακού

Διαβάστε περισσότερα

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Μάθημα 4.5 Η Μνήμη - Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Όταν ολοκληρώσεις το μάθημα αυτό θα μπορείς: Να αναφέρεις τα κυριότερα είδη μνήμης

Διαβάστε περισσότερα

Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών H/Y Department of Electrical and Computer Engineering. Εργαστήριο 8. Χειμερινό Εξάμηνο

Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών H/Y Department of Electrical and Computer Engineering. Εργαστήριο 8. Χειμερινό Εξάμηνο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών H/Y Department of Electrical and Computer Engineering Οργάνωση και Σχεδίαση Η/Y (HY232) Εργαστήριο 8 Χειμερινό Εξάμηνο 2016-2017 1. Προσομοίωση λειτουργίας ιεραρχίας

Διαβάστε περισσότερα

i Throughput: Ο ρυθμός ολοκλήρωσης έργου σε συγκεκριμένο χρόνο

i Throughput: Ο ρυθμός ολοκλήρωσης έργου σε συγκεκριμένο χρόνο Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 6-7 Απόδοση ΚΜΕ (Μέτρηση και τεχνικές βελτίωσης απόδοσης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κεντρική Μονάδα Επεξεργασίας

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 13: (Μέρος Β ) Λειτουργικό Σύστημα Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής

Διαβάστε περισσότερα

Εικονική Μνήμη (1/2)

Εικονική Μνήμη (1/2) Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχ. και Μηχανικών Υπολογιστών Εργαστήριο Υπολογιστικών Συστημάτων Εικονική Μνήμη (1/2) Λειτουργικά Συστήματα Υπολογιστών 7ο Εξάμηνο, 2016-2017 Εικονική Μνήμη

Διαβάστε περισσότερα

Single Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

Single Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης Αρχιτεκτονική Υπολογιστών 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: 2014-2015 Νεκ. Κοζύρης nkoziris@cslab.ece.ntua.gr Single Cycle Datapath http://www.cslab.ece.ntua.gr/courses/comparch/ Άδεια Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

Λειτουργικά Συστήματα (Λ/Σ)

Λειτουργικά Συστήματα (Λ/Σ) Λειτουργικά Συστήματα (Λ/Σ) Διαχείριση Μνήμης Βασίλης Σακκάς 6/12/2013 1 Διαχείριση Μνήμης 1 Το τμήμα του Λ/Σ που διαχειρίζεται τη μνήμη λέγεται Διαχειριστής Μνήμης (Memory manager). Καθήκον του είναι

Διαβάστε περισσότερα

Τμήμα Λογιστικής. Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. Μάθημα 8. 1 Στέργιος Παλαμάς

Τμήμα Λογιστικής. Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. Μάθημα 8. 1 Στέργιος Παλαμάς ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Τμήμα Λογιστικής Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές Μάθημα 8 Κεντρική Μονάδα Επεξεργασίας και Μνήμη 1 Αρχιτεκτονική του Ηλεκτρονικού Υπολογιστή Μονάδες Εισόδου Κεντρική

Διαβάστε περισσότερα

Διαχείριση Κύριας Μνήμης

Διαχείριση Κύριας Μνήμης Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχ. και Μηχανικών Υπολογιστών Εργαστήριο Υπολογιστικών Συστημάτων Διαχείριση Κύριας Μνήμης Λειτουργικά Συστήματα Υπολογιστών 7ο Εξάμηνο, 2016-2017 Διαχείριση

Διαβάστε περισσότερα

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή

i Στα σύγχρονα συστήματα η κύρια μνήμη δεν συνδέεται απευθείας με τον επεξεργαστή Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2015-16 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://di.ionio.gr/~mistral/tp/comparch/ Μ.Στεφανιδάκης i Στα σύγχρονα

Διαβάστε περισσότερα

Εισαγωγή στην Πληροφορική

Εισαγωγή στην Πληροφορική Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Ιονίων Νήσων Εισαγωγή στην Πληροφορική Ενότητα 8: Λειτουργικά Συστήματα Το περιεχόμενο του μαθήματος διατίθεται με άδεια Creative Commons εκτός και αν αναφέρεται διαφορετικά

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Αρχιτεκτονική Υπολογιστών Ενότητα 13: (Μέρος Γ ) Συστήματα Παράλληλης & Κατανεμημένης Επεξεργασίας Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών

Διαβάστε περισσότερα

Τελική Εξέταση, Απαντήσεις/Λύσεις

Τελική Εξέταση, Απαντήσεις/Λύσεις ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών (ΗΜΜΥ) HMΜY 212 Οργάνωση Η/Υ και Μικροεπεξεργαστές Εαρινό Εξάμηνο, 2007 Τελική Εξέταση, Απαντήσεις/Λύσεις Άσκηση 1: Assembly για

Διαβάστε περισσότερα

Λειτουργικά Συστήματα

Λειτουργικά Συστήματα Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Λειτουργικά Συστήματα Ενότητα 8: Διαχείριση Μνήμης. Φυσικές και Λογικές Διευθύνσεις Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και

Διαβάστε περισσότερα

Τεχνολογίες Κύριας Μνήμης

Τεχνολογίες Κύριας Μνήμης Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Τεχνολογίες Κύριας (και η ανάγκη για χρήση ιεραρχιών μνήμης) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Κύρια Μνήμη

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ www.cslab.ece.ntua.gr ΠΡΟΗΓΜΕΝΑ ΘΕΜΑΤΑ

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ. Α Γενικού Λυκείου (Μάθημα Επιλογής)

ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ. Α Γενικού Λυκείου (Μάθημα Επιλογής) ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ Α Γενικού Λυκείου (Μάθημα Επιλογής) Σύγχρονα Υπολογιστικά Συστήματα τους υπερυπολογιστές (supercomputers) που χρησιμοποιούν ερευνητικά εργαστήρια τα μεγάλα συστήματα (mainframes)

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Αρχιτεκτονική Υπολογιστών Υποσύστημα μνήμης Διδάσκων: Επίκουρος Καθηγητής Αριστείδης Ευθυμίου Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες

Διαβάστε περισσότερα

Αρχιτεκτονική-ΙI Ενότητα 4 :

Αρχιτεκτονική-ΙI Ενότητα 4 : ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Αρχιτεκτονική-ΙI Ενότητα 4 : Μνήμες Ιωάννης Έλληνας Τμήμα Η/ΥΣ Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

Εισαγωγή στα Λειτουργικά Συστήματα

Εισαγωγή στα Λειτουργικά Συστήματα Εισαγωγή στα Λειτουργικά Συστήματα Ενότητα 6: Διαχείριση Μνήμης Ι Γεώργιος Φ. Φραγκούλης Τμήμα Ηλεκτρολόγων Μηχανικών Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons.

Διαβάστε περισσότερα

Θέµατα Φεβρουαρίου

Θέµατα Φεβρουαρίου Θέµατα Φεβρουαρίου 2-2 cslab@ntua 2- Θέµα ο (3%): Έστω η παρακάτω ακολουθία εντολών που χρησιµοποιείται για την αντιγραφ από µια θέση µνµης σε µια άλλη (memory-to-memory copy): lw $2, ($) sw $2, 2($) i)

Διαβάστε περισσότερα

Διάλεξη 12 Καθυστερήσεις (Stalls) Εκκενώσεις Εντολών (Flushing)

Διάλεξη 12 Καθυστερήσεις (Stalls) Εκκενώσεις Εντολών (Flushing) ΗΥ 232 Οργάνωση και Σχεδίαση Υπολογιστών Διάλεξη 2 Καθυστερήσεις (Stalls) Εκκενώσεις Εντολών (Flushing) Νίκος Μπέλλας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Καθυστερήσεις και Εκκενώσεις Εντολών

Διαβάστε περισσότερα

Processor-Memory (DRAM) ιαφορά επίδοσης

Processor-Memory (DRAM) ιαφορά επίδοσης Processor-Memory (DRAM) ιαφορά επίδοσης µproc 6%/yr 98 98 982 983 984 985 986 987 988 989 99 99 992 993 994 995 996 997 998 999 2 2 22 23 24 25 Performance Processor-Memory Performance Gap: (grows 5% /

Διαβάστε περισσότερα

3. Σελιδοποίηση μνήμης 4. Τμηματοποίηση χώρου διευθύνσεων

3. Σελιδοποίηση μνήμης 4. Τμηματοποίηση χώρου διευθύνσεων ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ MHXANIKOI Η/Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΙΠΕ Ο ΜΗΧΑΝΗΣ ΛΕΙΤΟΥΡΓΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ Γ. Τσιατούχας 6 ο Κεφάλαιο 1. Επίπεδο OSM 2. Εικονική μνήμη ιάρθρωση 3. Σελιδοποίηση μνήμης 4. Τμηματοποίηση

Διαβάστε περισσότερα

Διάλεξη 14 Εισαγωγή στην Ιεραρχία Μνήμης

Διάλεξη 14 Εισαγωγή στην Ιεραρχία Μνήμης ΗΥ 232 Οργάνωση και Σχεδίαση Υπολογιστών Διάλεξη 14 Εισαγωγή στην Ιεραρχία Μνήμης Νίκος Μπέλλας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων 1 H Μικρο-αρχιτεκτονική μας 4 1 0 PCSrc IF/ID Control ID/EX

Διαβάστε περισσότερα