Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση. Ενότητα 13: Εισαγωγή στην VHDL

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση. Ενότητα 13: Εισαγωγή στην VHDL"

Transcript

1 Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 13: Εισαγωγή στην VHDL Δρ. Αλέξανδρος Λαζαρίδης

2 Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άλλου τύπου άδειας χρήσης, η άδεια χρήσης αναφέρεται ρητώς. 2

3 Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ψηφιακά Μαθήματα στο Πανεπιστήμιο Δυτικής Μακεδονίας» έχει χρηματοδοτήσει μόνο τη αναδιαμόρφωση του εκπαιδευτικού υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους. 3

4 Σκοπός της ενότητας Να γίνει εισαγωγή στην VHDL και να αναλυθούν έννοιες της VHDL. 4

5 Εισαγωγή Η VHDL είναι μια γλώσσα που χρησιμοποιείται για την περιγραφή και μοντελοποίηση ψηφιακών κυκλωμάτων. VHDL: V HSIC Hardware Description Language ( VHSIC: Very High Speed Integrated Circuit = Πολύ μεγάλης ταχύτητας ολοκληρωμένο κύκλωμα ). Αρχικοποιήθηκε από το DoD ( Department of Defense-USA (Υπουργείο Αμύνης ΗΠΑ ) ) στις αρχές του

6 Πεδία εφαρμογής της VHDL Εξομοίωση ορθής λειτουργίας ( Simulation ). Σύνθεση ψηφιακών κυκλωμάτων ( Synthesis ). Επιβεβαίωση ορθού σχεδιασμού ( Design Verification ). Μοντέλα προδιαγραφών ( Specification Models ). 6

7 Πλεονεκτήματα της γλώσσας VHDL (1) Παγκόσμιο πρότυπο ( IEEE , ). Υποστήριξη από πληθώρα αναπτυξιακών εμπορικών εργαλέιων σχεδιασμού ( CAD tools ). Εύκολη μεταφορά κυκλωματικών περιγραφών σε διαφορετικά αναπτυξιακά περιβάλλοντα. Δυνατότητα περιγραφής κυκλώματος /συστήματος σε διαφορετικά ιεραρχικά επίπεδα. Από επίπεδο πύλης μέχρι επίπεδο συστήματος. Υποστήριξη εναλλακτικών σχεδιαστικών μεθοδολογιών ( Topdown, Bottom-up, Mixed ). 7

8 Πλεονεκτήματα της γλώσσας VHDL (2) Ιεραρχική σχεδίαση ( Block Diagrams, Components) Επαναχρησιμοποίηση σχεδιασθέντων υπομονάδων ( reusable components ). Χρήση βιβλιοθηκών με σχεδιασθέντα κυκλώματα Μικρότερος χρόνος ανάπτυξης βελτιωμένων εκδόσεων του κυκλώματος / συστήματος. Περιγραφή κυκλώματος / συστήματος ανεξάρτητα από την τεχνολογία υλοποίησης. Επαναχρησιμοποίηση υπάρχουσας κυκλωματικής περιγραφής σε διαφορετικές τεχνολογίες. Μικρότερος χρόνος ανάπτυξης βελτιωμένων εκδόσεων του κυκλώματος / συστήματος. 8

9 Πλεονεκτήματα της γλώσσας VHDL (3) Υποστήριξη ταυτόχρονων και ακολουθιακών δομών ( concurrent and sequential constructions ). Οι περισσότερες γλώσσες ( π.χ. C ) υποστηρίζουν μόνο ακολουθιακές δομές. Οι ταυτόχρονες δομές είναι απαραίτητες για την περιγραφή της λειτουργίας του υλικού. Εύκολη διαχείριση λαθών και επιβεβαίωση ορθής λειτουργίας. Προσομοίωση, διαχείριση σφαλμάτων, επαλήθευση σχεδιασμού. 9

10 Επίπεδο Συστήματος Περιγραφή των προδιαγραφών του συστήματος. Δεν απαιτείται πληροφορία χρονισμών. Δεν απαιτείται ακριβής καθορισμός της αρχιτεκτονικής του κυκλώματος / συστήματος. Δυνατότητα εξομοίωσης και επιβεβαίωσης ορθής λειτουργίας. 10

11 Επίπεδο Συμπεριφοράς Αναλυτικότερη περιγραφή της συμπεριφοράς / λειτουργίας του κυκλώματος. Καθορισμός των απαιτούμενων αλγορίθμων για την ικανοποίηση των προδιαγραφών του συστήματος. Εμπεριέχει πληροφορίες χρονισμού. Μη αναλυτικός καθορισμός της αρχιτεκτονικής του κυκλώματος ( καταχωρητές, μνήμες, συνδυαστικά κυκλώματα κλπ. ). Ένα μοντέλο περιγραφής σε επίπεδο συμπεριφοράς αποτελειται από τα λειτουργικά στοιχεία και τη διασύνδεση αυτών. Κάθε λειτουργικό στοιχείο μπορεί να εμπεριέχει περισσότερα του ενός στοιχεία και πληροφορία χρονισμού. 11

12 Επίπεδα καταχωρητή και πύλης Επίπεδο καταχωρητή ( Register Transfer Level RTL ). Περιγραφή του κυκλώματος με χρήση συνδυαστικών κυκλωμάτων, καταχωρητών, μνημών, σύγχρονων και ασύγχρονων μηχανών πεπερασμένων καταστάσεων. Επίπεδο πύλης ( Gate / Logic-Level ) Περιραφή του κυκλώματος σε επίπεδο πύλη. Χρήση λογικών εξισώσεων ( Boolean Functions ). Χρησιμοποιείται κυρίως για το σχεδιασμό βασικών συνδυαστικών κυκλωμάτων ( αθροιστές. Πολ/στες κλπ. ). Υψηλοι χρόνοι σύνθεσης και εξομοίωσης. 12

13 Τρόποι περιγραφής κυκλωμάτων Συμπεριφοράς ( Behavioral VHDL ). Ροής Δεδομένων ( Data flow VHDL ). Δομής ( Structural VHDL ). Και οι τρεις παραπάνω μεθόδοι περιγραφής μπορούν να χρησιμοποιηθούν σε κάθε επίπεδο ροής σχεδιασμού. Καθώς μετακινούμαστε από το επίπεδο συμπεριφοράς στο επίπεδο δομής: Αναλυτικότερη κυκλωματική περιγραφή. Καλύτερο έλεγχο της σύνθεσης του κυκλώματος. Μεγαλύτερος κώδικας. Υψηλότεροι χρόνοι εξομοίωσης. 13

14 Συμπεριφορική VHDL ( Behavioral ) (1) Χρησιμοποιείται για την μοντελοποίηση της συμπεριφοράς του κυκλώματος σε υψηλό και αφηρημένο επίπεδο. Αλγοριθμική περιγραφή της λειτoυργίας του κυκλώματος. Δεν περιγράφεται αναλυτικά η κυκλωματική δομή του κυκλώματος. Δεν απαιτούνται αναλυτικές λογικές εξισώσεις. sum = x+y; IF (x=1) AND (y=1) carry=1; ELSE carry=0; x y Half_Adder sum carry 14

15 Συμπεριφορική VHDL ( Behavioral ) (2) Δυνατότητα εξομοίωσης για την επιβεβαίωση ορθής λειτουργίας του κυκλώματος. Επαλήθευση μέσω back-annotated πληροφορίας, επιτρέπει επιπλέον να γίνει επιβεβαίωση ορθής λειτουγίας με στοιχεία της τεχνολογίας ολοκλήρωσης. 15

16 VHDL ροής δεδομένων ( Data Flow ) Αναλυτικότερη περιγραφή της λειτουργίας του κυκλώματος. Χρήση λογικών εξισώσεων για την μοντελοποίηση της ροής δεδομένων. Δυνατότητα εξομοίωσης και επιβεβαίωσης ορθής λειτουργίας. sum = x y OR xy ; carry = x AND y; x y Half_Adder sum carry 16

17 VHDL δομής ( Structural ) Χρησιμοποιείται για την περιγραφή της διασύνδεσης των δομικών μονάδων του κυκλώματος. Οι δομικές μονάδες ποικίλουν από απλές πύλες μέχι σύνθετα κυκλώματα. Προυποθέτει την ύπαρξη βιβλιοθήκης από σχεδιασθέντα δομικά στοιχεία και την δυνατότητα χρήσης αυτών. x sum x sum y Half_Adder carry y x y carry 17

18 Ιεραρχική σχεδίαση (1) Περιγραφή του κυκλώματος σε διαφορετικά ιεραρχικά επίπεδα. Ένας ιεραρχικός σχεδιασμός αποτελείται από υπομοβάδες που εμπεριέχουν άλλες υπομονάδες, VHDL κώδικες ή συνδυασμούς αυτών. Στόχος: Η ευκολότερη κατανόηση και διαχείριση του σχεδιασμού. Η πολυπλοκότητα δεν μειώνεται πάντοτε! Αποτέλεσμα: Η γρήγορη και αποτελεσματικότερη σχεδίαση πολύπλοκων κυκλωμάτων. 18

19 Ιεραρχική σχεδίαση (2) Βασική ιδέα: Απόκρυψη μη χρήσιμης πληροφορίας σε κάθε ιεραρχικό επίπεδο. Αρχή του μαύρου κουτιού: Μόνο οι είσοδοι / έξοδοι και η λειτουργία του κάθε component ( συμπεριφορά των εισόδων εξόδων ) είναι ορατές. 19

20 Ιεραρχική σχεδίαση (3) 20

21 Delta Time (1) Χρησιμοποιείται από τον εξομοιωτή για την χρονοδρομολόγηση συμβάντων. Delta delay χρησιμοποιείται όταν δεν ορίζεται άλλος τύπος καθυστέρησης. Είναι απειροελάχιστος ( infinitisimal ) χρόνος μη ορατός από τον χρήστη. Δεν εισάγει καμία πραγματική καθυστέρηση. B <= a; -- Το σήμα b παίρνει την τιμή του a μετά από delta delay. 21

22 Delta Time (2) A B & C D c <= a AND b; d <= NOT c; A B ns 30 ns+1 delta 57 ns+1 delta C D 30 ns+2 delta 57 ns+2 delta 22

23 Αδρανειακή Καθυστέρηση ( Inertial Delay ) Default delay type. Επιτρέπει τον ορισμό καθυστέρησης από τον χρήστη. Απορροφά παλμούς μικρότερης διάρκειας απο την προκαθορισμένη καθυστέρηση. 23

24 Μεταφορά καθυστέρησης ( Transport Delay ) Πρέπει να καθορίζονται ρητά από τον χρήστη. Επιτρέπει στον χρήστη που καθορίζεται η καθυστέρηση. Περνάει όλες τις μεταβάσεις εισόδου με καθυστέρηση. 24

25 Υπομονάδες ( Components ) (1) Κάθε ομάδα αποτελειται από δυο μέρη: Entity Σηματα εισόδου / εξόδου ( in / out ports ). Architecture Περιγραφή της λειτουργίας του είτε σε επίπεδο συμπεριφοράς είτε σε επίπεδο κυκλώματος. Entity IN OUT Architecture 25

26 Υπομονάδες ( Components ) (2) Αρχή του μάυρου κουτιού Μόνο η γνώση των σημάτων εισόδου / εξόδου και της συμπεριφοράς αυτών απαιτούνται για την χρήση ενός component. Δε μας ενδιαφέρει η υλοποίηση του. IN OUT Component 26

27 Υπομονάδες ( Components ) (3) Θεμελιώδης έννοια της VHDL. Χρησιμοποιούνται για την περιγραφή της λειτουργίας απλών πυλών μέχρι συστημάτων. Αποθήκευση σχεδιασθέντων υπομονάδων σε βιβλιοθήκη και επαναχρησιμοποίηση αυτών. 27

28 Υπομονάδες ( Components ) (4) 28

29 Βασική δομή ενός VHDL αρχείου Δήλωση οντότητας ( entity declaration ): δήλωση σημάτων εξόδου. Σώμα Αρχιτεκτονικής ( architectural body ): περιγραφή της οντότητας, μπορεί να αποτελείται από διασυνδεδεμένες δομικές μονάδες ( components ), διαδικασίες ( processes ) και αναθέσεις σημάτων, τα οποία λειτουργούν παράλληλα. 29

30 Δήλωση οντότητας (1) entity NAME_OF_ENTITY is [ generic generic_declarations);] port (signal_names: mode type); signal_names: mode type; : signal_names: mode type); end [NAME_OF_ENTITY] ; 30

31 Δήλωση οντότητας (2) Τύποι: bit/ bit_vector std_logic/std_logic_vector Boolean/integer/real/character time Mode: in: είσοδος out: έξοδος που δεν διαβάζεται εσωτερικά buffer: έξοδος που διαβάζεται και εσωτερικά input: είσοδος/ έξοδος std_logic: ορίζεται στο package std_logic_1164 της IEEE βιβλιοθήκης, προτιμάται από το bit type, περιγράφει ένα ψηφιακό σύστημα με ακρίβεια αφού παίρνει τιμές 0, 1, - ( don t care ), Z ( high impedance μία γραμμή δεν οδηγείται ), κ.α. 31

32 Προαιρετικές Δήλωση οντότητας (3) Καθορίζουν τοπικές σταθερές ( χρονισμούς μεγέθη ) generic ( constant_name: type [:=value]; constant_name: type [:=value]; : constant_name: type [:=value]); 32

33 Δήλωση οντότητας (4) Α θροιση δύο 4-bit αριθμών Entity adder is port ( a, b: in std_logic_vector ( 3 downto 0 ); sum : out std_logic_vector ( 4 downto 0 ) ); end adder; 33

34 Σώμα αρχιτεκτονικής Καθορίζει πώς λειτουργεί το κύκλωμα και πώς υλοποιείται. Architecture architecture_name of NAME_ENTITY is -- Declarations -- components declarations -- signal declarations -- constant declarations -- function declarations -- procedure declarations -- type declarations : begin -- Statements : End architecture_name; 34

35 Περιγραφή δομής ( structural ) (1) Τα συστήματα περιγράφονται ως διασύνδεση components. Υπονοείται μία ιεραρχική δομή. Για κάθε χρησιμοποιούμενο component πρέπει να γίνει: Δήλωση του component στο σώμα αρχιτεκτονικής. όπου θα χρησιμοποιηθεί Instantiation του component, όπου γίνεται και η διασύνδεσή του με το περιβάλλον σύστημα. Η δήλωση του component είναι όμοια με την δήλωση της αντίστοιχης οντότητας. 35

36 Περιγραφή δομής ( structural ) (2) Τα συστήματα περιγράφονται ως διασύνδεση components. Υπονοείται μία ιεραρχική δομή. Για κάθε χρησιμοποιούμενο component πρέπει να γίνει: Δήλωση του component στο σώμα αρχιτεκτονικής όπου θα χρησιμοποιηθεί. Instantiation του component, όπου γίνεται και η διασύνδεσή του με το περιβάλλον σύστημα. instance_name: component name port map ( port1 => signal1, port2 => signal2, port3 => signaln); Πέρασμα παραμέτρων στις θύρες με βάση το όνομα ή port map (signal1, signal2, signaln); με βάση τη θέση ( το πρώτο port αντιστοιχεί στο πρώτο σήμα, το δεύτερο port αντιστοιχεί στο δεύτερο σήμα, κ.ο.κ. ). Η θέση των σημάτων πρέπει να είναι στην ιδία σειρά με τα component s ports. 36

37 Περιγραφή δομής ( structural ) (3) architecture Structural of TrafiicLights is component AND2 port (in1, in2: in std_logic; out1: out s end component; component OR2 port (in1, in2: in std_logic; out1: out std_logic); end component; component NOT1 port (in1: in std_logic; out1: out std_logic); end component; signal VEH_AT_MAIN_INVERT, INT: std_logic; begin U0: NOT1 port map (out1=>veh_at_main_invert, in1=>veh_at_main); U1: AND2 port map (VEH_AT_MAIN, VEH_AT_LOCAL, INT); U2: OR2 port map (VEH_AT_MAIN_INVERT, INT, RED); end Structural; 37

38 Περιγραφή υπερχείλισης ( Data flow ) Τα συστήματα περιγράφονται με concurrent statements ( ταυτόχρονες δηλώσεις ). Υπάρχουν τρεις βασικές κατηγορίες assignments: Απλά assignments ( όπως αυτά που χρησιμοποιούνται μέσα σε processes, με τη διαφορά ότι εδώ εκτελούνται παράλληλα, και για αυτό ονομάζονται concurrent ( ταυτόχρονες ) ). Υπό συνθήκη ( conditional ) assignments. Assignments επιλεγμένων σημάτων ( selected signals ). 38

39 Απλές αναθέσεις ( assignments ) Target_signal <= expression Sum <= ( A xor B ) xor Cin; Carry <= (A and B); Z <= ( not X ) or Y after 2 ns; 39

40 Εξαρτώμενες αναθέσεις ( Conditional assignments ) (1) Target_signal <= expression when Boolean_condition else expression when Boolean_condition else.. expression; To target signal θα πάρει την τιμή της πρώτης έκφρασης για την οποία η Boolean condition θα είναι αληθής. Η δομή when-else είναι χρήσιμη για την περιγραφή λογικών συναρτήσεων που έχουν μορφή πίνακα αληθείας. 40

41 Προϋπόθεση ανάθεσης - Conditional assignments (2) Target_signal <= expression when Boolean_condition else expression when Boolean_condition else : expression; Παράδειγμα (2-to-1 mux): entity MUX_2_1 is port (A, B: in std_logic; SEL: in std_logic; Z: out std_logic); end MUX_2_1; architecture concurr_mux21 of MUX_2_1 is begin Z <= A when SEL = 0 else B; end concurr_mux21; 41

42 Αναθέσεις επιλεγμένων σημάτων - Selected signals assignments (1) with choice_expression select target_name <= expression when choices, expression when choices, : expression when choices; Ο πως και η δομή when-else είναι χρήσιμη για την περιγραφή μιας συνάρτησης σαν πίνακα αλήθειας. Είναι ισοδύναμη των case δομών μέσα σε processes. 42

43 Αναθέσεις επιλεγμένων σημάτων - Selected signals assignments (2) with choice_expression select target_name <= expression when choices, expression when choices, : expression when choices; Παράδειγμα (2-to-1 mux): entity MUX_2_1 is port (A, B: in std_logic; SEL: in std_logic; Z: out std_logic); end MUX_2_1; architecture concurr_mux21b of MUX_2_1 is begin with SEL select Z <= A when 0, B when 1 ; end concurr_mux21b; 43

44 Συμπεριφορική περιγραφή ( Behavioral ) Η βασική δομή σε behavioral περιγραφές είναι η process Η δομή process δηλώνεται μέσα σε μία αρχιτεκτονική και εκτελείται παράλληλα με άλλες δομές (concurrent ταυτόχρονα ). Οι εντολές εσωτερικά στη δομή εκτελούνται ακολουθιακα. Στη δομή process υπάρχει μία λίστα με σήματα στα οποία είναι «ευαίσθητη» η διαδικασία process. Κάθε αλλαγή στην τιμή αυτών των σημάτων προκαλεί την άμεση εκτέλεση της διαδικασίας. Εναλλακτικά μπορεί να συμπεριληφθεί μία εντολή WAIT. Μεταβλητές/ σταθερές ορίζονται στο τμήμα δηλώσεων της, πριν το begin. 44

45 Η δομή διεργασίας ( process ) Μία process χωρίς wait statement εκτελείται για πάντα [process_label:] process [ (sensitivity_list) ] [is] [process_declarations] begin list of sequential statements such as signal assignments variable assignments case statement exit statement if statement loop statement next statement null statement procedure call wait statement end process [process_label]; 45

46 Δήλωση αναμονής ( Wait statement ) Καθορίζουν πότε αντιδρούν οι processes σε αλλαγές στις τιμές σημάτων. To wait statement αναγκάζει μία process να σταματήσει και ορίζει υπό ποια συνθήκη θα ξεκινήσει πάλι. Μπορούμε να συμπεριλάβουμε κάθε συνδυασμό ή και κανέναν από αυτούς σε μια wait statement. wait_statement <= [label:] wait [ on signal_name {, }] [until bool_expr] [for time_expr]; 46

47 Wait statements Λίστα ευαισθησίας (1) Ξεκινάει με την λέξη on και καθορίζει μία λίστα από σήματα στα οποία αντιδρά η process. Εάν συμβεί ένα γεγονός ( αλλαγή τιμής ) σε ένα σήμα που ανήκει σε λίστα ευαισθησίας τότε η process ξεκινάει αμέσως. 47

48 Wait statements Λίστα ευαισθησίας (2) half_add : process begin sum<=a xor b; carry<= a and b; wait on a,b; end process; half_add : process ( a, b ) begin sum<=a xor b; carry<= a and b; end process; 48

49 Wait statements Λίστα ευαισθησίας (3) Ένα wait statement με μία λίστα ευαισθησίας είναι ισοδύναμο με μία process που εμπεριέχει λίστα ευαισθησίας. Το παραπάνω παράδειγμα περιγράφει συνδυαστική λογική. Συνήθως, τα processes χρησιμοποιούνται για την περιγραφή ακολουθιακής λογικής. 49

50 Τύποι δεδομένων TYPES SCALAR COMPOSITE ENUMERATION NUMERICAL PHYSICAL ARRAY RECORD REAL INTEGER 50

51 Τύπος απαρίθμησης ( Enumerated Type ) Καθορίζει ένα σύνολο τιμών που μπορεί να πάρει ένα αντικείμενο. Χρησιμοποιούνται σε μεγάλο βαθμό για τη δήλωση των καταστάσεων μιας FSM. Παράδειγμα TYPE bit IS ( 0, 1 ); TYPE states IS ( IDLE, RECEIVE, SEND ); Ένα αντικείμενο τύπου bit ( παραπάνω ) μπορεί να πάρει μόνο τις τιμές 1 και 0. 51

52 Αριθμητικοί τύποι ( Numerical types ) Ακέραιο: Καθορίζει ένα σύνολο ακέραιων τιμών. TYPE my_int IS RANGE 0 TO 15 Η VHDL εμπεριέχει έναν προκαθορισμένο ακέραιο τύπο-integer. TYPE integer IS RANGE TO ; Είναι χρήσιμο να δηλώνεται το ακριβές εύροςτου ακεραίου για λόγους μνήμης. Πραγματικός: Καθορίζει ένα εύρος πραγματικών αριθμών. TYPE real IS RANGE -1.0e38 TO 1.0e38; ΠΡΟΣΟΧΗ!!!! Δεν υποστηρίζεται από κανένα εργαλείο σύνθεσης. 52

53 Φυσικοί Τύποι - Physical Types H VHDL υποστηρίζει τύπους για τη μοντελοποίηση φυσικών μεγεθών όπως ο τύπος time. TYPE time IS RANGE TO units fs; ps=1000 fs; ns=1000 ps;.. END units; Υποστηρίζει επίσης αντιστάσεις και πυκνωτές. ΠΡΟΣΟΧΗ!!! Δεν υποστηρίζονται από κανένα εργαλείο σύνθεσης Χρησιμοποιούνται μόνο για εξομοίωση και για καθορισμό των προδιαγραφών ( simulation and specification models ). 53

54 Τύποι Array TYPE data_bus IS ARRAY (0 TO 31) OF BIT; 0...element numbers array values... 1 VARIABLE X: VARIABLE Y: data_bus; BIT Y := X(12); -- Y gets value of 12 th element TYPE register IS ARRAY (15 DOWNTO 0) OF BIT; 54

55 IEEE-1076 Προκαθορισμένοι τύποι Το πρότυπο ΙΕΕΕ-1076 περιλαμβάνει τους ακόλουθους τύπους δεδομένων. TYPE bit IS ( 0, 1 ); TYPE bit_vector IS array ( integer RANGE <> ) OF bit; TYPE integer IS RANGE minint TO maxint; SUBTYPE positive IS integer RANGE 0 TO maxint; SUBTYPE natural IS integer RANGE 0 TO maxint; TYPE boolean IS ( true, false ); 55

56 Τύποι δεδομένων std_ulogic / std_ logic (1) Std_ulogic U -- Un-initialized X -- Forcing unknown Std_logic Ίδιες τιμές με std_ulogic. Επίλυση συγκρούσεων ( conflicts ) όταν ένα σήμα οδηγείται από περισσότερους του ενός σήματα / οδηγούς ( drivers ). 56

57 Τύποι δεδομένων std_ulogic / std_ logic (2) ENTITY ex IS PORT (d, c, en1, en2: IN std_logic; dbus: OUT std_logic); END; Το παρακάτω είναι σφάλμα: ARCHITECTURE rtl OF ex IS BEGIN dbus <= d WHEN en1 = 1 ELSE Z dbus <= c WHEN en2 = 1 ELSE Z END; 57

58 Εντολή Record Xρησιμοποιείται για τη συλλογή ενός ή περισσότερων στοιχείων διαφορετικου τύπου σε ένα ενιαίο κατασκεύασμα. Τα στοιχεία μπορεί να είναι οποιουδήποτε VHDL τύπου. Η πρόσβαση στα στοιχεία γίνεται μεσω ονόματος πεδίου. TYPE binary IS ( ON, OFF ); TYPE switch_info IS RECORD status : binary; Idnumber : integer; END RECORD; VARIABLE switch : switch_infol switch.status := on; -- status of the switch switch.idnumber := 30; -- number of the switch 58

59 Εντολή Subtype Επιτρέπει στον χρήστη να ορίζει περιορισμούς σε έναν τύπο δεδομένων. Μπορεί να περιέχει ένα ολόκληρο εύρος από βασικούς τύπους. Αναθέσεις πού είναι εκτός εύρους της υποκατηγορίας τύπων επιστρέφουν σφάλμα. Παράδειγμα Subtype: SUBTYPE name IS base_type RANGE <user range>; SUBTYPE first_ten IS INTEGER RANGE 0 to 9 59

60 Διανύσματα ( Vectors ) Χρησιμοποιούνται για την μοντελοποίηση διαύλων ( busses ). Δηλώνονται στις οντότητες ή και στην αρχιτεκτονική. ENTITY ex IS PORT ( a: IN std_logic_vector ( 3 DOWNTO 0 ); b : OUT bit_vector ( 0 TO 4 ) ); END; ARCHITECTURE rtl OF ex IS SIGNAL s1 :std_logic_vector ( 3 DOWNTO 0 ); SIGNAL s2 : std_ulogic_vector ( 0 TO 2 ); BEGIN... END; 60

61 Ανάθεση τιμής σε διάνυσμα (1) ARCHITECTURE rtl OF ex IS SIGNAL a, b : std_logic_vector ( 3 DOWNTO 0 ); SIGNAL c, : std_logic_vector ( 3 DOWNTO 0 ); BEGIN a <= 0110 ; -- ( a(3), a(2), a(1), a(0) ) = ( 0110 ) b <= 1101 ; -- ( b(3), b(2), b(1), b(0) ) = ( 1101 ) c <= a AND b; -- ( c(3), c(2), c(1), c(0) ) = ( 0100 ) END; Τα διανύσματα στα οποία επιτελείται η λογική πράξη πρέπει να είναι του ίδιου εύρους όπως και το διάνυσμα στο οποίο ανατίθεται το αποτέλεσμα. Κατά την ανάθεση τιμής πρέπει να λαμβάνονται υπόψη το έυρος αλλά και η διεύθυνση δήλωσης του διανύσματος. 61

62 Ανάθεση τιμής σε διάνυσμα (2) Ισοδύναμες αναθέσεις : a(2) <= d(0); b(2) <= c(2); a(1) <= d(1); b(1) <= d(1); a(0) <= d(2); b(0) <= d(0); 62

63 Ανάθεση τιμής σε διάνυσμα (3) ARCHITECTURE rtl OF ex IS SIGNAL a : std_logic_vector ( 4 DOWNTO 0 ); SIGNAL b : std_ulogic_vector ( 0 TO 4 ); SIGNAL c : std_logic; BEGIN a <= 0110 ; b(4) <= c; b ( 0 to 3 ) <= a ( 3 DOWNTO 0 ) ; END; ARCHITECTURE rtl OF ex IS SIGNAL a : std_logic_vector ( 2 DOWNTO 0 ); SIGNAL b : std_ulogic_vector ( 3 DOWNTO 0 ); BEGIN a <= b; <- ΛΑΘΟΣ!!! Δίαυλοι όχι ίσου εύρους END; 63

64 Ακολουθιακές Δομές ( Sequential Structures ) Η λειτουργία του υλικού είναι «παράλληλη» από τη φύση της. Ο λα τα κυκλώματα ενεργοποιούνται ακαριαία σε τυχόν αλλαγή των εισόδων τους. Χρήση ταυτόχρονων δομών ( concurrent structures ) Εκτελούνται ταυτόχρονα ( concurrently ) ανεξάρτητα της σειράς εμφάνισης τους στον κώδικα. Χρήση ακολουθιακών δομών για πληρέστερη μοντελοποίηση. Οι ακολουθιακές δομές εκτελούνται ακολουθιακά σύμφωνα με τη σειρά εμφάνισης τους στον VHDL κώδικα. Χρησιμοποιούνται για ακολουθιακή επεξεργασία δεδομένων ( sequential data processing ). 64

65 Μεταβλητές Target_identifier>=<selected_expression> <selected_expression> = <identifier> [(and! or! xor)] <identifier>. [(and! or! xor)] Δηλώνονται και χρησιμοποιούνται σοτν VHDL κώδικα. Χρησιμοποιούνται για αποθήκευση προσωρινών αποτελεσμάτων. Δεν αποτελούν ηλεκτρικές συνδέσεις. PROCESS(. ) VARIABLE a, b, c : std_logic: c:=a AND b: --Δήλωση μεταβλητών --Χρήση μεταβλητών 65

66 Σήματα και μεταβλητές Η βασική διαφορά σήματος και μεταβλητής συνιστάται στον τρόπο ανάθεσης τιμών. Η μεταβλητή είναι «ακολουθιακή» οντότητα ενώ το σήμα «συντρέχουσα» οντότητα. Η τιμή ανατίθεται στο σήμα μετά το delta time ενώ στην μεταβλητή ακαριαία. 66

67 Διεργασία ( Process ) (1) Απαιτέι θεμελιώδη έννοια της VHDL. Προέρχεται από το συμβατικό λογισμικό και αντιστοιχεί σε ένα ακολουθιακό πρόγραμμα. Καταστάσεις: Εκτέλεσης Αναμονής Μέσω αλλαγής κατάλληλων σημάτων η διεργασία εκτελειται και επιστρέφει σε κατάσταση αναμονής. Ο χρόνος εκτέλεσης ισούται με 1 delta_time. 67

68 Διεργασία ( Process ) (2) Περισσότερες από μια διεργασίες μπορεί να εκτελούνται ταυτόχρονα. Διεγείρονται από συντρέχουσε δομές ( σήματα ) Εντός της διεργασίας ο κώδικας εκτελείται ακολουθιακά. Είναι ισοδύναμο με την ταυτόχρονη ( παράλληλη ) εκτέλεση περισσότερων του ενός προγραμμάτων συμβατικού λογισμικού. 68

69 Διεργασία Σύνταξη (1) [<process_name:] PROCESS [ ( sensitivity_list ) ] BEGIN [<process_declarative_part>] <process_statement_part> END PROCESS [<process_name>]; Η διεγασία διεγείρεται μέσω αλλαγής κάποιου σήματος. της sensitivity_list του wait statement 69

70 Παράδειγμα: Διεργασία Σύνταξη (2) ff: PROCESS (a,b) sensitivity list BEGIN q<=a; z<=b; END; cc: PROCESS BEGIN WAIT ON a,b ; --wait statement q<=a; z<=d; END; 70

71 Διεργασία Σύνταξη (3) ff: PROCESS (a,b) --sensitivity list BEGIN q <= a; z <=b; END cc: PROCESS BEGIN WAIT ON a, b; -- wait statement q <= a; z <= d; END Απαγορεύεται ταυτόχρονη χρήση wait και sensitivity_list!!! 71

72 Διεργασία Παράδειγμα (1) Η process είναι ένα concurrent statement ( ταυτόχρονη δήλωση ) => 1 σήμα εξόδου. 72

73 Διεργασία Παράδειγμα (2) SIGNAL a, b, c, d: INTEGER := 0; one: PROCESS (a) BEGIN a <= 1; IF (a=1) THEN b<=1; END IF; a<= 0 END PROCESS; two: PROCESS (a) VARIABLE c: INTEGER; BEGIN c:=1; IF (c=1) THEN d<= 1 ; END IF; END PROCESS; Το b <= 1 δε θα γίνει ποτέ. Το a <= 1 γίνεται όταν η διεργασία ενεργοποιείται για πρώτη φορά. Ο μως επικαλύπτεται από το a <= 0. To d <= 1 γίνεται κανονικά διότι η μεταβλητή παίρνει ακαριαία τιμή. 73

74 Είδη Διεργασιών Συνδυαστικές διεργασίες ( Combinational processes ). Διεργασίες χρονοδρομολογούμενες από ρολόι ( Clocked Processes ). 74

75 Συνδυαστικές Διεργασίες Χρησιμοποιούνται για την μοντελοποίηση συνδυαστικών κυκλωμάτων. Ο λα τα σήματα εισόδου πρέπει να περιέχονται στο sensitivity_list ή στο wait statement. Ένα συνδυαστικό κύκλωμα διεγείρεται μέσω αλλαγής της εισόδου. Η διεργασία πρέπει να διεγείρεται από όλα τα σήματα εισόδου. Παράλειψη σήματος εισόδου οδήγεί σε μη διέργερση της διεργασίας σε τυχόν αλλαγή αυτού. 75

76 Συνδυαστικές Διεργασίες Παράδειγμα (1) Ένα σύνηθες λάθος είναι να μην περιέχεται ένα απαιτούμενο σήμα στη sensitivity list. PROCESS ( a, s ) BEGIN IF ( s = 1 ) THEN y <= a; ELSE y <= b; 76

77 Συνδυαστικές Διεργασίες Παράδειγμα (2) good: PROCESS ( a, b, c ) BEGIN d<= ( a AND b ) OR c; END PROCESS; 77

78 Χρονισμένες Διεργασίες (1) Χρησιμοποιούνται για τη μοντελοποίηση σύγχρονων ακολουθιακών κυκλωμάτων. Για τη διέγερση τους απαιτείται αλλαγή του σήματος ρολογιού. Ένα ακολουθιακό κύκλωμα αλλάζει κατάσταση μόνο στις χρονικές στιγμές αλλαγής του ρολογιού. 78

79 Εναλλακτικές περιγραφές του ρολογιού (1) Alt 1: PROCESS (CLK) BEGIN IF clk event AND clk= 1 THEN q<=d; END IF; END PROCESS Alt 2: PROCESS (CLK) BEGIN IF clk= 1 THEN q<=d; END IF; END PROCESS Alt 4: PROCESS (CLK) BEGIN WAIT UNTIL clk= 1 q<=d; END PROCESS Alt 5: PROCESS (CLK) BEGIN WAIT UNTIL rising_edge(clk); q<=d; END PROCESS 79

80 Εναλλακτικές περιγραφές του ρολογιού (2) Alt 3: PROCESS (CLK) BEGIN IF clk event AND clk= 1 AND clk last_value = 0 THEN q<=d; END IF; END PROCESS; Οι 1, 2, 3 και 4 είναι πιο ευρέως χρησιμοποιούμενες και υποστηρίζονται από την πληθώρα των εργαλείων σύνθεσης. Η 3 είναι η πιο πλήρης για σύνθεση. 80

81 Χρονισμένες Διεργασίες (2) Α: PROCESS BEGIN WAIT UNTIL clk = 0 c_out<= NOT (a AND b); d_out<= NOT b; END PROCESS a; B: PROCESS BEGIN WAIT UNTIL clk = 0 e_out<= NOT (d_out AND c); f_out<= NOT c; END PROCESS b; 81

82 Χρονισμένες Διεργασίες (3) 82

83 Χρονισμένες Διεργασίες (4) Σε μια clocked διεργασία όλα τα σήματα στα οποία γίνεται ανάθεσης τιμής οδηγούνται από flip-flop. 83

84 Χρονισμένες Διεργασίες (5) Παράδειγμα example : PROCESS BEGIN WAIT UNTIL clc = 1 ; dout <= din; END; 84

85 Χρονισμένες Διεργασίες (6) Παράδειγμα ( συνέχεια ) 85

86 Βιβλιογραφία Βασισμένες στο υλικό των: ΑΣΗΜΑΚΗ-ΒΟΥΡΒΟΥΛΑΚΗ-ΚΑΚΑΡΟΥΝΤΑ- ΛΕΛΙΓΚΟΥ 86

87 Τέλος Ενότητας 87

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι Εισαγωγή στη VHDL Εισαγωγή Very High Speed Integrated Circuits Hardware Description Language ιαφορές από γλώσσες προγραμματισμού: παράλληλη εκτέλεση εντολών προσδιορισμός

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL Περιγραφή Κυκλωμάτων με χρήση της VHDL Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL Οργάνωση Παρουσίασης VHDL εισαγωγικές έννοιες Ροή και επίπεδα σχεδιασμού ψηφιακών κυκλωμάτων Μοντελοποίηση Καθυστερήσεων

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Οντότητες και συντρέχουσες δηλώσεις

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Οντότητες και συντρέχουσες δηλώσεις Περιγραφή Κυκλωμάτων με χρήση της VHDL Οντότητες και συντρέχουσες δηλώσεις Οργάνωση Παρουσίασης Οντότητα (Entity) Συντρέχουσα VHDL (Concurrent VHDL) Συντρέχουσες Δηλώσεις (Concurrent Statements) Αντικείμενα

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 VHDL, Χαρακτηριστικά και τρόποι περιγραφής Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Τμήμα Μηχανικών Πληροφορικής ΤΕ http://diceslab.cied.teiwest.gr

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι Περιγραφή Κυκλωμάτων με χρήση της VHDL Καταχωρητές και χρονισμός με ρολόι Οργάνωση Παρουσίασης Διεργασίες (κανόνες) Ακολουθιακές Δηλώσεις (Sequential Statements) Καταχωρητές και χρονισμός Συμπληρωματική

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Προετοιµασία: Παπαδόπουλος Γιώργος Σούρδης Γιάννης Για το µάθηµα Οργάνωσης Υπολογιστών (ΑΡΥ301), 2002 ΕΙΣΑΓΩΓΗ ΣΤΗ STRUCTURAL VHDL Η VHDL είναι

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Περιγραφή Κυκλωμάτων με χρήση της VHDL Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Οργάνωση Παρουσίασης Περιγραφή Δομής σε VHDL (Structural Description) Μηχανές Πεπερασμένων Καταστάσεων

Διαβάστε περισσότερα

Library, package και subprograms

Library, package και subprograms Library, package και subprograms Libraries Packages Subprograms Procedures Functions Overloading Αριθμητικά πακέτα Type conversion Shift operators Παράδειγμα Library - Package Ασκήσεις-Προβλήματα 12/8/2009

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Περίγραµµα διάλεξης Υποκυκλώµατα Περιγραφή δοµής στη VHDL Βιβλιοθήκες

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy)

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ενότητα 3: Καταχωρητές - Απαριθμητές Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών n VHDL Processes Περίληψη n Εντολές If-Then-Else και CASE

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Αγαθοκλής Παπαδόπουλος και Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

Βασικές οµές Μοντελοποίησης. (Peter Ashenden, The Students Guide to VHDL)

Βασικές οµές Μοντελοποίησης. (Peter Ashenden, The Students Guide to VHDL) Βασικές οµές Μοντελοποίησης (Peter Ashenden, The Students Guide to VHDL) Επίπεδα Αναπαράστασης - Αφαίρεσης Αθροιστής: SUM

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Περίληψη VHDL Processes Εντολές If-Then Then-Else και CASE Περιγραφή Flip-Flop Flop με VHDL

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Γιώργος Ζάγγουλοςκαι Δρ. Παναγιώτα Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL) Ακολουθιακές εντολές (Peter Ashenden, The Students Guide to VHDL) Εντολή If Τα βασικά χαρακτηριστικά της είναι τα εξής: Μπορεί να χρησιµοποιηθεί για τον έλεγχο µίας ή περισσοτέρων συνθηκών. Η πρώτη συνθήκη

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 216-217 Συντρέχων Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής VHDL Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής Structural (Δομική) Dataflow (Ροής δεδομένων) Behavioral (Συμπεριφοράς) Η VDHL χρησιμοποιείται για την περιγραφή

Διαβάστε περισσότερα

nkavv@physics.auth.gr

nkavv@physics.auth.gr Γλώσσες Περιγραφής Υλικού Εισαγωγή στην VHDL Νικόλαος Καββαδίας nkavv@physics.auth.gr 17 Μαρτίου 2009 Αντικείμενο του μαθήματος CST256: Γλώσσες Περιγραφής Υλικού Επιμέρους στόχοι του μαθήματος Σχεδιασμός

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 6: Σύγχρονα Ακολουθιακά Κυκλώματα Κυριάκης Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Τύποι Δεδομένων και Τελεστές Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr Αντίρριο

Διαβάστε περισσότερα

VHDL Εισαγωγικές έννοιες

VHDL Εισαγωγικές έννοιες VHDL Εισαγωγικές έννοιες ρ. Κ. Καραφασούλης ckaraf@aegean.gr Σχεδίαση Αρχικά ψηφιακά κυκλώµατα µπορούν να σχεδιασθούν µε το κατάλληλο λογισµικό. Μεγαλύτερα κυκλώµατα µπορούν να σχεδιασθούν ιεραρχικά από

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Συνδυαστικών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL (Very high

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Πανεπιστήμιο Πατρών Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων (VLSI) ΙΙ Εισαγωγή στη VHDL και το Εργαλείο

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Χρησιμοποιούμε τις μηχανές πεπερασμένων καταστάσεων (finite state machines FSMs) για την μοντελοποίηση της συμπεριφοράς ενός κυκλώματος, η

Διαβάστε περισσότερα

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005 Κεφάλαιο 4 - iii: VHDL για Σχεδιασµό Συνδυαστικών Κυκλωµάτων Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL Γλώσσα προγραµµατισµού

Διαβάστε περισσότερα

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Εισαγωγή στη VHDL Υλοποίηση στο Quartus ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκοντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών

Διαβάστε περισσότερα

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL)

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL) Μοντελοποίηση Επιπέδου Πύλης (Peter Ashenden, The Students Guide to VHDL) Πολλαπλά Επίπεδα Τιµών Η κατάσταση µίας γραµµής δεν είναι πάντα 0 ή 1. ιαµάχες οδηγούν σε απροσδιοριστία. Χρήση πολλαπλών επιπέδων

Διαβάστε περισσότερα

Structural VHDL. Structural VHDL

Structural VHDL. Structural VHDL Structural VHDL Παράδειγμα Multiplexer Component Component specification Port map command Unconnected outputs Unconnected inputs Generic map command Generate command Configuration Παράδειγμα 4-bit Adder

Διαβάστε περισσότερα

Μοντελοποίηση Λογικών Κυκλωμάτων

Μοντελοποίηση Λογικών Κυκλωμάτων Μοντελοποίηση Λογικών Κυκλωμάτων Ενότητα 7: Η γλώσσα VHDL, Μοντελοποίηση, διαχείριση χρόνου Τμήμα Εφαρμοσμένης Πληροφορικής Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Συνδυαστικών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL (Very high

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα 1. Στόχοι 3

Διαβάστε περισσότερα

ΠΑΡΑΡΤΗΜΑ Β. Verification

ΠΑΡΑΡΤΗΜΑ Β. Verification ΠΑΡΑΡΤΗΜΑ Β Ροή Σχεδίασης και VHDL Ροή Σχεδίασης Πριν περάσουµε σε περιγραφή της γλώσσας VHDL είναι χρήσιµο να δούµε το περιβάλλον και τη ροή της σχεδίασης. Τα βήµατα µιας σχεδίασης βασισµένης σε VHDL

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL) Προσοµοίωση Συστηµάτων µε VHDL (Peter Ashenden, The Students Guide to VHDL) Κώδικας VHDL Περιβάλλον Σχεδίασης Αναλυτής ιαχειριστής Βιβλιοθήκης Σχεδίασης Προσοµοιωτής Αντίστροφος Αναλυτής Βιβλιοθήκη Σχεδίασης

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Σχόλια: - - This is a single line comment - - There is no alternative way to write multi-line comments Αναγνωριστικά: Τα αναγνωριστικά

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 1 η Εργαστηριακή Άσκηση Εισαγωγή στη VHDL και στο εργαλείο Modelsim 1 Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

Σύνθετοι τύποι και λειτουργίες. (Peter Ashenden, The Students Guide to VHDL)

Σύνθετοι τύποι και λειτουργίες. (Peter Ashenden, The Students Guide to VHDL) Σύνθετοι τύποι και λειτουργίες (Peter Ashenden, The Students Guide to VHDL) Πίνακες Πίνακες: Αποτελούνται από στοιχεία του ίδιου τύπου. Μονοδιάστατοι Πίνακες type table1 is array (0 to 7) of std_logic;

Διαβάστε περισσότερα

VHDL Introduction. Subtitle

VHDL Introduction. Subtitle VHDL Introduction Subtitle Getting Started VHDL means Very Hard Difficult Language That s a lie!!! τα αρχικά VHDL είναι συντομογραφία του VHSIC Hardware Description Language, ενώ το VHSIC αντιπροσωπεύει

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

H γλώσσα περιγραφής κυκλωµάτων VHDL

H γλώσσα περιγραφής κυκλωµάτων VHDL H γλώσσα περιγραφής κυκλωµάτων VHDL Καβουσιανός Χρ. Τσιατούχας Γ. Λέκτορες Πανεπιστηµίου Ιωαννίνων (Peter Ashenden, The Students Guide to VHDL) Γενικά VHDL = VHSIC (Very High Speed Integrated Circuits)

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο VHDL (revisited)

Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο VHDL (revisited) ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2007 VHDL για Σχεδιασμό Συνδυαστικών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Προχωρημένα Θέματα Σχεδιασμού με VHDL Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων

VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL (Very high speed integrated

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Συνδυαστικό Κυκλωμα: Το κύκλωμα του οποίου οι έξοδοι εξαρτώνται αποκλειστικά από τις τρέχουσες εισόδους του. Ακολουθιακό Κύκλωμα: Το κύκλωμα

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 1: Εισαγωγή - Ιστορία Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 4 η Εργαστηριακή Άσκηση Περιγραφή Κυκλωμάτων με Ακολουθιακές Εντολές Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) VHDL

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 13 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Εισαγωγή στη Γλώσσα VHDL

Εισαγωγή στη Γλώσσα VHDL Εισαγωγή στη Γλώσσα VHDL Παράδειγμα and3 Entity και Architecture Entity Entity - Παραδείγματα Architecture VHDL simulation παραδείγματος and3 Παράδειγμα NAND VHDL simulation παραδείγματος nand Boolean

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Φεβ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 3 -i: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Περίληψη Αρχές σχεδιασµού Ιεραρχία σχεδιασµού Σχεδιασµός

Διαβάστε περισσότερα

Προγραμματισμός Η/Υ. Ενότητα 4: Εντολές Επιλογής

Προγραμματισμός Η/Υ. Ενότητα 4: Εντολές Επιλογής Προγραμματισμός Η/Υ Ενότητα 4: Νίκος Καρακαπιλίδης, Καθηγητής Δημήτρης Σαραβάνος, Καθηγητής Πολυτεχνική Σχολή Τμήμα Μηχανολόγων & Αεροναυπηγών Μηχανικών Σκοποί ενότητας Έλεγχος της ροής ενός προγράμματος

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών Θέμα Β.1: Απλός καταχωρητής 1 bit (D Flip-Flop) preset D D Q Q clk clear Σχήμα 2.1: D Flip-Flop με εισόδους preset και clear Με τη

Διαβάστε περισσότερα

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού 7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού Εισαγωγή Η χειρονακτική σχεδίαση ενός ψηφιακού συστήµατος είναι εξαιρετικά δύσκολη και επιρρεπής σε λάθη Συστήµατα που ξεπερνούς τις µερικές

Διαβάστε περισσότερα

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ. ΤΕΙ ΚΡΗΤΗΣ / ΠΑΡΑΡΤΗΜΑ ΧΑΝΙΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ mode mode(0) ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ Εµµανουήλ Καπαρού Επιβλέπων : ρ Μηχ Νικόλαος

Διαβάστε περισσότερα

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Ενότητα 3: Άλγεβρα Βοole και Λογικές Πράξεις Δρ. Φραγκούλης Γεώργιος Τμήμα Ηλεκτρολογίας Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

Κεφάλαιο 4 ο Σχεδίαση Κυκλωμάτων με χρήση της γλώσσας VHDL

Κεφάλαιο 4 ο Σχεδίαση Κυκλωμάτων με χρήση της γλώσσας VHDL Κεφάλαιο 4 ο Σχεδίαση Κυκλωμάτων με χρήση της γλώσσας VHDL 4.1 Eισαγωγή στη VHDL 4.1.1 Θεωρητικό υπόβαθρο H VHDL είναι μια γλώσσα που χρησιμοποιείται για την περιγραφή και μοντελοποίηση ψηφιακών κυκλωμάτων.

Διαβάστε περισσότερα

Γλώσσα Περιγραφής Υλικού VHDL Μέρος Α: Σωστή Σύνθεση

Γλώσσα Περιγραφής Υλικού VHDL Μέρος Α: Σωστή Σύνθεση ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Ενότητα 2 Γλώσσα Περιγραφής Υλικού VHDL Μέρος Α: Σωστή Σύνθεση Καθηγητής Αντώνης Πασχάλης 2017

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 3 η Εργαστηριακή Άσκηση Σχεδίαση και Υλοποίηση μίας ALU δύο εισόδων VHDL Εργαστήριο_2 2012-2013 1 Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Τµήµα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ενσωματωμένα Συστήματα Ενότητα 3: Η γλώσσα περιγραφής υλικού VHDL Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Σχεδίαση Υπολογιστικών

Σχεδίαση Υπολογιστικών Σχεδίαση Υπολογιστικών Συστημάτων Εισαγωγή Μιχάλης Ψαράκης 1 Εισαγωγή Βιβλιογραφία: Βιβλίο Α: «Ψηφιακή Σχεδίαση Ενσωματωμένα Συστήματα με VHDL», P.J. Ashenden. Εκδόσεις Νέες Τεχνολογίες Βιβλίο Β: «Σχεδιασμός

Διαβάστε περισσότερα

σφαλμάτων Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών

σφαλμάτων Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Λογική εξομοίωση και εξομοίωση σφαλμάτων Περίγραμμα ργρ Επιβεβαίωση σχεδιασμού και εξομοίωση (Verification and Simulation) Είδη εξομοίωσης (Types of Simulation) Εξομοίωση σφαλμάτων (Fault Simulation) Σειριακή

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Υπολογιστικά Συστήματα

Υπολογιστικά Συστήματα Υπολογιστικά Συστήματα Ενότητα 4: Visual Basic for Applications (VBA) Δομές Επανάληψης και Επιλογής Σαπρίκης Ευάγγελος Τμήμα Διοίκησης Επιχειρήσεων (Γρεβενά) Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος Α) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Εισαγωγή στην Πληροφορική

Εισαγωγή στην Πληροφορική Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Ιονίων Νήσων Εισαγωγή στην Πληροφορική Ενότητα 3: Ψηφιακή Λογική ΙI Το περιεχόμενο του μαθήματος διατίθεται με άδεια Creative Commons εκτός και αν αναφέρεται διαφορετικά

Διαβάστε περισσότερα

constant number_of_bytes : integer := 4; constant number_of_bits : integer := 8 * number_of_bytes;

constant number_of_bytes : integer := 4; constant number_of_bits : integer := 8 * number_of_bytes; Σχεδίαση Υπολογιστικών Συστηµάτων Μοντελοποίηση και προσοµοίωση στην VHDL Μιχάλης Ψαράκης 3-1 Αντικείµενα στη VHDL Σταθερές (constants) Μεταβλητές (variables) Σήµατα (signals) Θύρες (ports) 3-2 ηλώσεις

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα

(Peter Ashenden, The Students Guide to VHDL)

(Peter Ashenden, The Students Guide to VHDL) Υποπρογράµµατα Πακέτα (Peter Ashenden, The Students Guide to VHDL) Procedures Μία διαδικασία (procedure) δηλώνεται και κατόπιν καλείται όσες φορές θέλουµε. procedure identifier [(parameter_interface_list)]

Διαβάστε περισσότερα

{ int a = 5; { int b = 7; a = b + 3;

{ int a = 5; { int b = 7; a = b + 3; Σχεδίαση Γλωσσών & Μεταγλωττιστές Ενότητα 1: Γλώσσες με δομή block Τμήμα Πληροφορικής Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ, ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΚΑΙ ΙΚΤΥΩΝ Τοµέας Υλικού και Αρχιτεκτονικής Υπολογιστών ΗΥ232 - Ψηφιακή Σχεδίαση µε CAD ΙΙ Design Flow Simulation - Synthesis

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.3: Συνδυαστική Λογική - Δυναμικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων

8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων 8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων Εισαγωγή Η λογική που περιγράφεται σε ένα module µπορεί να περιγραφεί µε διάφορα στυλ Μοντελοποίηση σε επίπεδο

Διαβάστε περισσότερα

Introduction to IP Cores

Introduction to IP Cores Introduction to IP Cores Part 1: Digital Design -- Using IP Cores to Simplify Design Στον κόσµο του ψηφιακού σχεδίου, µπορούµε να χρησιµοποιήσουµε τις γλώσσες περιγραφής υλικού για να περιγράψουµε σύνθετες

Διαβάστε περισσότερα

ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Γλώσσα περιγραφής υλικού: Verilog

ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Γλώσσα περιγραφής υλικού: Verilog ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Γλώσσα περιγραφής υλικού: Verilog Αρης Ευθυμίου Το σημερινό μάθημα! Η γλώσσα περιγραφής υλικού Verilog Περίληψη των αντίστοιχων μαθημάτων Ψηφιακής σχεδίασης έμφαση σε

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 1 η :

Διαβάστε περισσότερα

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές

Διαβάστε περισσότερα

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe Εργασία στα Ψηφιακά Ηλεκτρονικά Συστήματα 2013-2014 Θέμα: Κατασκευή και Ανάλυση Μετρητή 4-bit και Πλήρους Αθροιστή σε περιβάλλον VHDL Ονοματεπώνυμο: Αλέξανδρος Γεώργιος Μουντογιαννάκης Σχολή: Τμήμα Επιστήμης

Διαβάστε περισσότερα

Οι Βιβλιοθήκες IEEE και παραδείγµατα functions

Οι Βιβλιοθήκες IEEE και παραδείγµατα functions Οι Βιβλιοθήκες IEEE και παραδείγµατα functions Βιβλιοθήκες µε την Εντολή Library Η VHDL επιτρέπει βιβλιοθήκες που ορίζονται µε τηνεντολή: library LibraryName; Εδώ, ο µεταγλωτιστης µπορεί να βρεί διάφορα

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ενσωματωμένα Συστήματα Ενότητα 3: Η γλώσσα περιγραφής υλικού VHDL Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα