Open Verification Session Interoperability Developers Forum. Kevin Kranen Director, Strategic Programs Strategic Market Development Synopsys, Inc.



Σχετικά έγγραφα
Architecture οf Integrated Ιnformation Systems (ARIS)

2016 IEEE/ACM International Conference on Mobile Software Engineering and Systems

Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ

Μεταπτυχιακή Εργασία Διαχείριση Επιχειρησιακών Διαδικασιών με τη χρήση Τεχνολογίας BPMN

Τέσσερις καλές πρακτικές για την ανάπτυξη λογισμικού στην Ανοιχτή Επιστήμη. Φώτης Ε. Ψωμόπουλος, Ερευνητής Γ ΙΝΕΒ ΕΚΕΤΑ

ΓΡΑΜΜΙΚΟΣ & ΔΙΚΤΥΑΚΟΣ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΠΟΛΙΤΙΚΩΝ ΜΗΧΑΝΙΚΩΝ. «Θεσμικό Πλαίσιο Φωτοβολταïκών Συστημάτων- Βέλτιστη Απόδοση Μέσω Τρόπων Στήριξης»

ΠΠΜ 515: Προχωρηµένα Θέµατα Διεύθυνσης Κατασκευαστικών Έργων

Microsoft Visual Studio Γιώργος Καµαρινός Developer Programs Marketing Manager Microsoft Hellas

Σχολή Εφαρμοσμένων Μαθηματικών και Φυσικών Επιστημών. Εθνικό Μετσόβιο Πολυτεχνείο. Thales Workshop, 1-3 July 2015

Simulink The MathWorks, Inc.

Πτυχιακή Εργασία. Σχεδίαση Εφαρμογών Ψηφιακών Συστημάτων Με Τη Γλώσσα VHDL

Models for Probabilistic Programs with an Adversary

Dynamic types, Lambda calculus machines Section and Practice Problems Apr 21 22, 2016

TaxiCounter Android App. Περδίκης Ανδρέας ME10069

ΠΠΜ 515: Προχωρημένα Θέματα Διεύθυνσης Κατασκευαστικών

The Simply Typed Lambda Calculus

Modbus basic setup notes for IO-Link AL1xxx Master Block

Εργαστήριο Ψηφιακών Κυκλωμάτων

Εργαστήριο Ανάπτυξης Εφαρμογών Βάσεων Δεδομένων. Εξάμηνο 7 ο

Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών

ΣΗΣΛΟ: Ζ ΥΡΖΖ ΒΗΟΛΟΓΗΚΧΝ ΠΡΟΗΟΝΣΧΝ ΣΟΝ ΑΓΡΟΣΟΤΡΗΜΟ

EE512: Error Control Coding

«Ευφυή Συστήματα Μεταφορών & εξελίξεις στην Ελλάδα»

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Bayesian modeling of inseparable space-time variation in disease risk

Μειέηε, θαηαζθεπή θαη πξνζνκνίσζε ηεο ιεηηνπξγίαο κηθξήο αλεκνγελλήηξηαο αμνληθήο ξνήο ΓΗΠΛΩΜΑΣΗΚΖ ΔΡΓΑΗΑ

Πύλη Φαρμακευτικών Υπηρεσιών Υπουργείου Υγείας Κύπρου. System Architecture Overview

ΤΑΥΤΟΤΗΤΑ IDENTITY Τσουμάνης ΑΒΕΕ

Information and Communication Technologies in Education

ΗΥ-225. Verilog HDL. Τα βασικά...

Data & Open Technologies A Perfect Combination Introduction Lecture

Terabyte Technology Ltd

ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ ΤΕΙ ΗΠΕΙΡΟΥ- ΣΤΕΦ ΤΜΗΜΑ ΜΗΧ. ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε.

ΠΑΝΔΠΙΣΗΜΙΟ ΜΑΚΔΓΟΝΙΑ ΠΡΟΓΡΑΜΜΑ ΜΔΣΑΠΣΤΥΙΑΚΧΝ ΠΟΤΓΧΝ ΣΜΗΜΑΣΟ ΔΦΑΡΜΟΜΔΝΗ ΠΛΗΡΟΦΟΡΙΚΗ


Μιχάλης Βαφόπουλος, vafopoulos.org

Bayesian statistics. DS GA 1002 Probability and Statistics for Data Science.

ΑΓΓΛΙΚΑ IV. Ενότητα 6: Analysis of Greece: Your Strategic Partner in Southeast Europe. Ιφιγένεια Μαχίλη Τμήμα Οικονομικών Επιστημών

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν.

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΙΚΗΣ ΙΣΧΥΟΣ

Σχεδίαση Υπολογιστικών

Srednicki Chapter 55

ΕΘΝΙΚΗ ΣΧΟΛΗ ΗΜΟΣΙΑΣ ΙΟΙΚΗΣΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΙΡΑΙΩΣ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΜΣ «ΠΡΟΗΓΜΕΝΑ ΣΥΣΤΗΜΑΤΑ ΠΛΗΡΟΦΟΡΙΚΗΣ» ΚΑΤΕΥΘΥΝΣΗ «ΕΥΦΥΕΙΣ ΤΕΧΝΟΛΟΓΙΕΣ ΕΠΙΚΟΙΝΩΝΙΑΣ ΑΝΘΡΩΠΟΥ - ΥΠΟΛΟΓΙΣΤΗ»

Computing the Gradient

Ενότητα 2 Εργαλεία για την αναζήτηση εργασίας: Το Βιογραφικό Σημείωμα

Δθαξκνγέο αζύξκαηεο ηειεκεηξίαο ζηελ αλαπηπμηαθή πιαηθόξκα Arduino

Συµβολαιακή Γεωργία: νέα δυναµική ανάπτυξης της επιχειρηµατικότητας ή νέα χρηµατοδοτική µορφή προς ενδυνάµωση της γεωργικής οικονοµίας; ΤΙΓΚΑΣ ΠΕΤΡΟΣ

ΓΗΠΛΧΜΑΣΗΚΖ ΔΡΓΑΗΑ ΑΡΥΗΣΔΚΣΟΝΗΚΖ ΣΧΝ ΓΔΦΤΡΧΝ ΑΠΟ ΑΠΟΦΖ ΜΟΡΦΟΛΟΓΗΑ ΚΑΗ ΑΗΘΖΣΗΚΖ

«ΑΓΡΟΤΟΥΡΙΣΜΟΣ ΚΑΙ ΤΟΠΙΚΗ ΑΝΑΠΤΥΞΗ: Ο ΡΟΛΟΣ ΤΩΝ ΝΕΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΣΤΗΝ ΠΡΟΩΘΗΣΗ ΤΩΝ ΓΥΝΑΙΚΕΙΩΝ ΣΥΝΕΤΑΙΡΙΣΜΩΝ»

SELF DIAGNOSIS METHOD

CY - INDUSTRY SURVEY Serial number.

H γλώσσα περιγραφής κυκλωµάτων VHDL

Μικρομεσαίες Επιχειρήσεις Πληροφορικής Ευκαιρίες Χρηματοδότησης σε Ευρωπαϊκό Επίπεδο

ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΠΛΗΡΟΦΟΡΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΙΟΙΚΗΣΗΣ II ΜΕΤΑΠΤΥΧΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΜΑΘΗΜΑ: ΙΟΙΚΗΣΗ ΕΠΙΧΕΙΡΗΣΕΩΝ

Démographie spatiale/spatial Demography

Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών

Repeated measures Επαναληπτικές μετρήσεις

EPL 603 TOPICS IN SOFTWARE ENGINEERING. Lab 5: Component Adaptation Environment (COPE)

Section 9.2 Polar Equations and Graphs

Δίκτυα Επικοινωνιών ΙΙ: OSPF Configuration

Εργαστήριο Ανάπτυξης Εφαρμογών Βάσεων Δεδομένων. Εξάμηνο 7 ο

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία Διάλεξη 2

3.4 SUM AND DIFFERENCE FORMULAS. NOTE: cos(α+β) cos α + cos β cos(α-β) cos α -cos β

Από την ιδέα στο έργο

Σχολή Διοίκησης και Οικονομίας. Μεταπτυχιακή διατριβή

ΑΝΙΧΝΕΥΣΗ ΓΕΓΟΝΟΤΩΝ ΒΗΜΑΤΙΣΜΟΥ ΜΕ ΧΡΗΣΗ ΕΠΙΤΑΧΥΝΣΙΟΜΕΤΡΩΝ ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ

GPGPU. Grover. On Large Scale Simulation of Grover s Algorithm by Using GPGPU

CMOS Technology for Computer Architects

Επιβλέπουσα Καθηγήτρια: ΣΟΦΙΑ ΑΡΑΒΟΥ ΠΑΠΑΔΑΤΟΥ

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

Δημιουργία Λογαριασμού Διαχείρισης Business Telephony Create a Management Account for Business Telephony

Quantifying the Financial Benefits of Chemical Inventory Management Using CISPro

Fourier Series. MATH 211, Calculus II. J. Robert Buchanan. Spring Department of Mathematics

Practice Exam 2. Conceptual Questions. 1. State a Basic identity and then verify it. (a) Identity: Solution: One identity is csc(θ) = 1

INDUSTRY SURVEY 2015/2016. Serial number. INTRO. Καλημέρα / Καλησπέρα. Θα μπορούσαμε να μιλήσουμε με τον/ την κ...

GLASS ACCESSORIES. χειρολαβές πόμολα. handles knobs.

Αρχές Τεχνολογίας Λογισμικού Εργαστήριο

ΑΥΤΟΜΑΤΟΠΟΙΗΣΗ ΜΟΝΑΔΑΣ ΘΡΑΥΣΤΗΡΑ ΜΕ ΧΡΗΣΗ P.L.C. AUTOMATION OF A CRUSHER MODULE USING P.L.C.

CHAPTER 25 SOLVING EQUATIONS BY ITERATIVE METHODS


Solutions to Exercise Sheet 5

NMBTC.COM /

ΔΙΑΚΗΡΥΞΗ ΔAΠΜ ΕΡΓΟ :

Lecture 2: Dirac notation and a review of linear algebra Read Sakurai chapter 1, Baym chatper 3

Professional Tourism Education EΠΑΓΓΕΛΜΑΤΙΚΗ ΤΟΥΡΙΣΤΙΚΗ ΕΚΠΑΙΔΕΥΣΗ. Ministry of Tourism-Υπουργείο Τουρισμού

A selection of vacancies in the UK 1 η Ευρωπαϊκή Έκθεση Εργασίας για Εξεύρεση Εργασίας, στην Ευρώπη - ΛΕΜΕΣΟΣ 14 Μαϊου - ΛΕΥΚΩΣΙΑ 15 Μαϊου

ΠΡΟΣΟΜΟΙΩΣΗ ΑΡΧΙΤΕΚΤΟΝΙΚΗΣ. Διαφάνειες από το MicroArch 35 Tutorial του Simics

Lecture 2. Soundness and completeness of propositional logic

derivation of the Laplacian from rectangular to spherical coordinates

IMES DISCUSSION PAPER SERIES

ΚΥΠΡΙΑΚΗ ΕΤΑΙΡΕΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ CYPRUS COMPUTER SOCIETY ΠΑΓΚΥΠΡΙΟΣ ΜΑΘΗΤΙΚΟΣ ΔΙΑΓΩΝΙΣΜΟΣ ΠΛΗΡΟΦΟΡΙΚΗΣ 19/5/2007

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Σχεδίαση Μεικτών VLSI Κυκλωμάτων

Κατευθυντήριες γραµµές

ΑΚΑ ΗΜΙΑ ΕΜΠΟΡΙΚΟΥ ΝΑΥΤΙΚΟΥ ΜΑΚΕ ΟΝΙΑΣ ΣΧΟΛΗ ΜΗΧΑΝΙΚΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ

TMA4115 Matematikk 3

Precision Metal Film Fixed Resistor Axial Leaded

Modern Greek Extension

Transcript:

Open Verification Session Interoperability Developers Forum Kevin Kranen Director, Strategic Programs Strategic Market Development Synopsys, Inc. October 16, 2003

Open Verification Agenda Introduction Leveraging OpenVera into SystemVerilog, Sashi Obilisetty, VeriEZ Solutions SystemVerilog: A Synthesis Perspective, Karen Pieper, Synopsys EDA & SystemVerilog, Dennis Brophy, MTI EDA & SystemVerilog, Steve Wang, Axis Systems Assertions Update for SystemVerilog, Bruce Greene, Synopsys

Two things to remember: Full Speed ahead with SystemVerilog! You are safe and secure with your other previous standards investments!

Synopsys Delivering SystemVerilog to Designers Today! SystemVerilog is THE HDVL Hardware Definition and Verification Language Synopsys Products support SystemVerilog VCS, HDL Compiler. Design Compiler, Leda Formality and additional products coming soon Accelerated assertion support driven by market needs SystemVerilog Catalyst Over 40 companies Enabling all EDA and IP providers to adopt faster SystemVerilog NOW! Market Education Sponsored by 5 EDA Verification Leaders Over 1,000 user registered to attend

Language Fragmentation Has Led to Pain Assertion Language Assertion Engine Auto Testbench HVL Property C/C++ Simulation Reference Models Coverage C/C++ Verilog, VHDL Painful for design and verification engineers HDL English Spec *!$&*!! Painful for EDA and IP developers HVL

RTL Verification Today Specification HDLs: Verilog, VHDL Design HDLs, HVL, C++ Home Grown, etc Verification Verification Environment Multiple point tools Inefficient tool interaction Multiple Intent definitions and coverage metrics

Unified Design & Verification Methodology Specification Design HDL HVL Verification DUT Unified Design and Verification

SystemVerilog: Everything needed for Design and Verification SystemVerilog for Verification Automated Testbench Assertions SystemVerilog for Design Communication Interfaces Advanced Verilog Verilog 2001 Verilog 95 Concise Design Features Comprehensive APIs Datatypes (C) Single language for design, assertions and testbench Supports increase code conciseness Evolves Verilog into a HDVL SystemVerilog 100% compatible with Verilog

SystemVerilog Means Productivity Netlist RTL SystemVerilog RTL Designer Productivity Comprehensive Verification Env. Simulation Coverage Formal Property Assertions Testbench HDL Simulation Co-Sim Overhead Testbench Hardware-assisted verification Enhanced Verification SystemVerilog Verification Speed

Increasing Designer Productivity Netlist RTL SystemVerilog RTL Designer Productivity 2X 5X less code to capture the same functionality Less code Fewer bugs Easier to interpret and communicate among teams Evolutionary: Reduces learning curve

Examples of Concise Coding Constructs Structures and user defined data types Improves data modeling, simplifies port lists Interfaces Define once, use many times Encapsulates communication between modules Can be designed/verified separately Supports multiple levels of abstraction signal level, transaction Less code still synthesizable

Other Improvements To Benefit Designers Strong type checking Global type system earlier detection of errors Many language improvements Same semantics interpretation by all tools Enhanced programming statements and operators Enhanced tasks and functions Eliminate simulation and synthesis mismatches 2-state types reduces overall memory requirements always_comb, always_latch, always_ff

SystemVerilog Enables Greater Verification Speed HDL Simulation Co-Sim Overhead Testbench SystemVerilog Verification Speed Unified language for design and verification improves effectiveness Advanced constrained-random test generation Fully integrated, complete assertion technology Easy integration of C Models

Benefits of Single Language for Design AND Testbench Easy learning curve Facilitates quicker adoption Improved communication between design and verification teams Reduces design and verification complexity with advanced constructs Enables faster tools and acceleration

SystemVerilog Powers Comprehensive Comprehensive Verification Env. Verification Simulation Coverage Assertions Formal Property Testbench Hardware-assisted verification Enhanced Verification Integrated assertions expand effectiveness of verification methodology Assertion-based verification Formal property verification Across the board verification acceleration

SystemVerilog Assertions: A Single Point of Specification. Simulation Checks SystemVerilog Assertions Capture design intent Accessible to every design / verification eng. Same familiar language Fast learning curve Hardware- Assisted Verification Automated Testbench Coverage. Formal Property Synthesis.

We re Committed to Supporting existing Open Standards Continued and expanding product support for VHDL VCS MX OpenVera VCS, Vera, OVASim SystemC System Studio, VCS, SystemC Compiler Offering SystemVerilog Catalyst Program to existing OpenVera Catalyst Program members

System Level CPU Architecture Algorithm SW DUT Formal Checking DUT Transaction Level Interface Block Assertions Simulation Modify Constraints RTL Results Analysis DUT Random Stimulus Generation Functional Coverage Chip SystemVerilog (Verilog + OpenVera) VHDL

OpenVera Today and Tomorrow OpenVera with Vera and VCS today Vera - Simulator independent VCS High performance Easy migration from OpenVera to SystemVerilog Performance and portability SystemVerilog testbench semantics based on OpenVera OpenVera support will continue in Vera and VCS Migration to SystemVerilog is optional

Two things to remember: Full Speed ahead with SystemVerilog! You are safe and secure with your other previous standards investments!

Next Sessions / Next Steps Leveraging OpenVera into SystemVerilog Transitioning OpenVera testbenches to SystemVerilog SystemVerilog: A Synthesis Perspective Leverage synthesizable SystemVerilog Learn what other EDA companies are doing with SystemVerilog Assertions Update for SystemVerilog Transitioning from OpenVera assertions to SystemVerilog