SoC. Zynq-7000 SoC -. /0 3 ) CPU. ARM Cortex-A9. .! : &+ 9, ;5 : A9 ARM Cortex ARM V7-A. Trust zone R C0 IJ6 +

Σχετικά έγγραφα
means ) ( )- 4 ) ;2 2 , < =- >?6 2 AB )4 AB ) $17,495,00 IJ 0'7 (3- &' ( - KK9 ( ()G ( <). ('2) 100% )7 )!

Product Selection Guides

EΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ ΓΡΑΜΜΑΤΕΙΑ ΕΠΙΤΡΟΠΗΣ ΕΡΕΥΝΩΝ ΑΝΑΡΤΗΤΕΑ ΣΤΟ ΚΗΜΔΗΣ & ΣΤΗ ΔΙΑΥΓΕΙΑ

Parts Manual. Trio Mobile Surgery Platform. Model 1033

Ενσωματωμένα συστήματα, εφαρμογές τους και σχεδιασμός συστήματος σε ολοκληρωμένο κύκλωμα (system-on-chip)

Ενσωματωμένα Συστήματα

! "#" "" $ "%& ' %$(%& % &'(!!")!*!&+ ,! %$( - .$'!"

!!" #7 $39 %" (07) ..,..,.. $ 39. ) :. :, «(», «%», «%», «%» «%». & ,. ). & :..,. '.. ( () #*. );..,..'. + (# ).

MOSFETs. MOSFETs. High Voltage MOSFET (THD Type) Max. Ratings R DS(ON) ( ) Q g (nc) Outline (Unit: mm) Type No.

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ - ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ

MICROMASTER Vector MIDIMASTER Vector

DC BOOKS. a-pl½-z-v iao-w Da-c-n

Μαθαίνοντας το hardware του αναπτυξιακού

ρολόγια χειρός κωδ.: G-WATCH NEW Κάθε ρολόι διατίθεται συσκευασμένο... κωδ. κοπτικού: MC-28R κωδ. μονταρίσματος: UM-GW

Αρχιτεκτονική Υπολογιστών

! "# $"%%&$$'($)*#'*#&+$ ""$&#! "#, &,$-.$! "$-/+#0-, *# $-*/+,/+%!(#*#&1!/+# ##$+!%2&$*2$ 3 4 #' $+#!#!%0 -/+ *&

HONDA. Έτος κατασκευής

Đường tròn : cung dây tiếp tuyến (V1) Đường tròn cung dây tiếp tuyến. Giải.

ΤΕΧΝΙΚΕΣ ΠΡΟΔΙΑΓΡΑΦΕΣ

OILGEAR TAIFENG. (ml/rev) (bar) (bar) (L/min) (rpm) (kw)

! " #$% & '()()*+.,/0.

Αρχιτεκτονική Υπολογιστών

PDF hosted at the Radboud Repository of the Radboud University Nijmegen

الهندسة ( )( ) مذكرة رقم 14 :ملخص لدرس:الجداءالسلمي مع تمارين وأمثلةمحلولة اھافواراتاة ارس : ( ) ( ) I. #"ر! :#"! 1 :ااءا&%$: v

ΙΔΡΥΜΑ ΤΕΧΝΟΛΟΓΙΑΣ ΚΑΙ ΕΡΕΥΝΑΣ

ΤΕΥΧΟΣ ΤΕΧΝΙΚΩΝ ΠΡΟ ΙΑΓΡΑΦΩΝ

!! "#$%& ! " # $ &%"+,(-. (# / 0 1%23%(2443

Μοναδική φορητότητα, αξεπέραστη απόδοση


C 1 D 1. AB = a, AD = b, AA1 = c. a, b, c : (1) AC 1 ; : (1) AB + BC + CC1, AC 1 = BC = AD, CC1 = AA 1, AC 1 = a + b + c. (2) BD 1 = BD + DD 1,

ΤΕΥΧΟΣ ΤΕΧΝΙΚΩΝ ΠΡΟ ΙΑΓΡΑΦΩΝ

!"#$%& '!(#)& a<.21c67.<9 /06 :6>/ 54.6: 1. ]1;A76 _F -. /06 4D26.36 <> A.:4D6:6C C4/4 /06 D:43? C</ O=47?6C b*dp 12 :1?6:E /< D6 3:4221N6C 42 D:A6 O=

-! " #!$ %& ' %( #! )! ' 2003

ΑΝΑΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ


March 14, ( ) March 14, / 52

3. Προσωπικός Ηλεκτρονικός Υπολογιστής (Personal Computer - PC)

Ο προσφερόμενος εξοπλισμός θα πρέπει να καλύπτεται από εγγύηση του κατασκευαστή τουλάχιστον 1 έτους. ΝΑΙ 13.1

ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ. Μελέτη των επεξεργαστών 32 bit της εταιρίας Microchip Technology και ανάπτυξη σειράς υποδειγµατικών εφαρµογών

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

Λογικά σύμβολα των CPU, RAM, ROM και I/O module

Ψηφιακή Επεξεργασία Σήματος

ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ. Σχεδιασμός και Υλοποίηση Επιταχυντή Υλικού για τον Αλγόριθμο Εκτίμησης Κίνησης Εξαντλητικής Αναζήτησης

ΤΕΧΝΙΚΕΣ ΠΡΟΔΙΑΓΡΑΦΕΣ Α. Για τις Διοικητικές Υπηρεσίες του ΤΕΙ Θεσσαλίας στη Λάρισα

! " #! $ %&! '( #)!' * +#, " -! %&! "!! ! " #$ % # " &' &'... ()* ( +, # ' -. + &', - + &' / # ' -. + &' (, % # , 2**.

Appendix B Table of Radionuclides Γ Container 1 Posting Level cm per (mci) mci

5ppm/ SOT-23 AD5620/AD5640/AD5660. nanodac AD AD AD V/2.5V 5ppm/ 8 SOT-23/MSOP 480nA 5V 200nA 3V 3V/5V 16 DAC.

Το άτομο του Υδρογόνου

ΤΡΟΠΟΠΟΙΗΤΙΚΗ ΣΥΜΒΑΣΗ


ΤΕΧΝΙΚΕΣ ΠΡΟ ΙΑΓΡΑΦΕΣ ΕΞΟΠΛΙΣΜΟΥ

ΠΕΡΙΟΔΙΚΟΣ ΠΙΝΑΚΑΣ ΣΤΟΙΧΕΙΩΝ

RTOSs ( + # :/ μitron, μtkernel, μc/os-ii, EmbOS, FreeRTOS, SharcOS, XMK OS, ecos, Erika, Hartik, KeilOS

!"! # $ %"" & ' ( ! " # '' # $ # # " %( *++*

Συμβατά με Εκτυπωτές Canon

!#$%!& '($) *#+,),# - '($) # -.!, '$%!%#$($) # - '& %#$/0#!#%! % '$%!%#$/0#!#%! % '#%3$-0 4 '$%3#-!#, '5&)!,#$-, '65!.#%

! " # $ $ % # & ' (% & $ &) % & $ $ # *! &+, - &+

Δθμιουργία, μελζτθ και βελτιςτοποίθςθ φωτορεαλιςτικϊν απεικονίςεων πραγματικοφ χρόνου με χριςθ προγραμματιηόμενων επεξεργαςτϊν γραφικϊν

ΠΡΟΜΗΘΕΙΑ ΕΞΟΠΛΙΣΜΟΥ ΜΗΧΑΝΟΓΡΑΦΗΣΗΣ

Έγχρωμος εκτυπωτής HP LaserJet Professional CP5225 series

REAL-TIME CLOCKS MIXED-SIGNAL DESIGN GUIDE. Data Sheets Applications Notes Free Samples. DS32kHz

ΠΡΟΣΚΛΗΣΗ ΕΝΔΙΑΦΕΡΟΝΤΟΣ KAI ΚΑΤΑΘΕΣΗΣ ΠΡΟΣΦΟΡΩΝ ΓΙΑ ΤΗΝ ΠΡΟΜΗΘΕΙΑ ΕΡΓΑΣΤΗΡΙΑΚΩΝ ΑΝΑΛΩΣΙΜΩΝ:

,

ΕΛΛΗΝΙΚΗ ΗΜΟΚΡΑΤΙΑ Αριθµ. Απόφ. : 986 ΝΟΜΟΣ ΘΕΣΣΑΛΟΝΙΚΗΣ Σίνδος, 15/11/2011 ΗΜΟΣ ΕΛΤΑ Αρ. Πρωτ. : Α Π Ο Φ Α Σ Η Ο ΗΜΑΡΧΟΣ ΕΛΤΑ ΑΠΟΦΑΣΙΖΕΙ

DC BOOKS. H-ml-c-n-s-b- -p-d-n- -v A-d-n-b-p-w-a-p-¼-v

... * +, . >1 " W1 X &=:C.1 3.% 2 *! > 8. $( >1 $.: " G YJ ZC1 G! 1.

ΕΙ ΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝ ΥΛΙΩΝ ΕΡΕΥΝΑΣ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΑΙΓΑΙΟΥ

14PROC

Νόµοςπεριοδικότητας του Moseley:Η χηµική συµπεριφορά (οι ιδιότητες) των στοιχείων είναι περιοδική συνάρτηση του ατοµικού τους αριθµού.

Εφαρμοσμένα Μαθηματικά ΙΙ

Ι ΙΟΤΗΤΕΣ ΤΩΝ ΑΤΟΜΩΝ. Παππάς Χρήστος Επίκουρος Καθηγητής

Γενικές Πληροφορίες: ΤΟΜΕΑΣ ΔΙΟΙΚΗΤΙΚΩΝ ΣΥΝΑΛΛΑΓΩΝ-ΓΡΑΦΕΙΟ ΠΡΟΜΗΘΕΙΩΝ Τηλέφωνα: , Fax: ,

ΑΝΑΚΟΙΝΩΣΗ ΠΡΟΣΚΛΗΣΗΣ ΠΡΟΣ ΟΙΚΟΝΟΜΙΚΟΥΣ ΦΟΡΕΙΣ ΓΙΑ ΑΠΕΥΘΕΙΑΣ ΠΡΟΜΗΘΕΙΑ Η/Υ ΚΑΙ ΗΛΕΚΤΡΟΝΙΚΟΥ ΕΞΟΠΛΙΣΜΟΥ

I S L A M I N O M I C J U R N A L J u r n a l E k o n o m i d a n P e r b a n k a n S y a r i a h

,, #,#, %&'(($#(#)&*"& 3,,#!4!4! +&'(#,-$#,./$012 5 # # %, )

1. ΕΝΗΜΕΡΩΣΕΙΣ - ΣΥΜΒΟΥΛΕΣ

Το καθημερινό μου VAIO

τροχιακά Η στιβάδα καθορίζεται από τον κύριο κβαντικό αριθµό (n) Η υποστιβάδα καθορίζεται από τους δύο πρώτους κβαντικούς αριθµούς (n, l)

Οικογενειακή διασκέδαση σε μεγάλη οθόνη

C M. V n: n =, (D): V 0,M : V M P = ρ ρ V V. = ρ

«ΠΑΡΑΡΤΗΜΑ Α» ΚΑΤΑΛΟΓΟΣ ΕΙΔΩΝ και ΕΚΤΙΜΩΜΕΝΕΣ ΠΟΣΟΤΗΤΕΣ


Θέματα Διπλωματικών Εργασιών

Σύστημα ψυχαγωγίας με μεγάλη οθόνη

..., ISBN: :.!". # -. $, %, 1983 &"$ $ $. $, %, 1988 $ $. ## -. $, ', 1989 (( ). '. ') "!$!. $, %, 1991 $ 1. * $. $,.. +, 2001 $ 2. $. $,, 1992 # $!

Ενσωµατωµένα Συστήµατα

Κυκλωμάτων» Χειμερινό εξάμηνο

ΣΧΟΛΗ ΑΣΙΑ ΚΑΣ: ΥΜ. Τζίκας Καθηγητής. Εφαρμογών ΘΕΣΣΑΛΟΝΙΚΗ

Αυθεντικότητα Μηνυμάτων Συναρτήσεις Hash/MAC

ΠINAKAΣ ΠΕΡΙΓΡΑΦΗ/ΠΡΟΔΙΑΓΡΑΦΕΣ

! "# $ % $&'& () *+ (,-. / 0 1(,21(,*) (3 4 5 "$ 6, ::: ;"<$& = = 7 + > + 5 $?"# 46(A *( / A 6 ( 1,*1 B"',CD77E *+ *),*,*) F? $G'& 0/ (,.

ΠΡΟΫΠΟΛΟΓΙΣΘΕΙΣΑ ΔΑΠΑΝΗ. Το εκτιμώμενο κόστος ανέρχεται σε 5.850,00 (συμπ/νου ΦΠΑ). Το εκτιμώμενο κόστος ανέρχεται σε 2.200,00 (συμπ/νουφπα).

ΓΗ ΚΑΙ ΣΥΜΠΑΝ. Εικόνα 1. Φωτογραφία του γαλαξία μας (από αρχείο της NASA)

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ (ΤΕΙ) ΙΟΝΙΩΝ ΝΗΣΩΝ ΔΙΟΙΚΟΥΣΑ ΕΠΙΤΡΟΠΗ ΓΡΑΦΕΙΑ ΑΘΗΝΩΝ

16PROC

ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ. Ζώτου Στέλλα

! " #! $ % & $ ' ( % & # ) * +, - ) % $!. /. $! $

Το καθημερινό μου VAIO

Ηράκλειο 28/10/2016 Αρ. Πρωτ.:

Αρχιτεκτονική Υπολογιστών

Transcript:

ارائه شده توسط: سايت ه فا مرجع جديد مقا ت ه شده از ن ت معت

Zynq 7000 SoC Zynq7000 SoC.!" xilinx SoC Zynq R 7000. /0 A9 Cortex TM ) *+, # $%&' (.!3 405+ 5 6 * 28 Xilinx (PL) 12 (PS) 78 9 )"+ 78 " 0! PS 3 ) ARM CortexA9 CPU.! : &+ 9, ;5 : (PS). /0 A9 ARM Cortex (APU) 3 6".! CPU + 6 2.5 DMIPS + 60# * % : CPU?38 0. 6".!A 0B. ARM V7A Trust zone R C0 Jazelle R RCT : 90' NEON TM. + E+ 5 C'F " 2D! (PTM) Trace Marcell coresignt TM GH + IJ6 + 1

+ *!" + + IK (CPU D) IK C M03 32 * L2 " 4 5 ;5 ( CPU (0 3B) C M03 512 )" 8 5 ;52 L2 IK C 0B. "+ 78 ROM $ "+ (OCM) RAM "+ C M03 256 C 0B. : 78 9 ME+.!A *0 78!3 3 LPDDR2 DDR2 ) DDR3L ) DDR3 78 C0 32 C0 16 9 C0 16 CN ECC 0B..C0 32 16 8# 78 5 : G OP Q8 C 60# * C R 78 9 0B. C 6 64 S0 0 8SRAM NOR SM8 0B. (ECC C0 ) OFNI 1.0 NAND SM8 0B. 0++ NOR SM8 (0 8) SPI #JA (#JA SPI) SPI C0 4 ) SPI C0 2 ) SPI C0 1 DMA 38!3 3 P 4: #!3. (M) S3+ 0B. 78 : ) : 78 )78 78 9 :I/O 2

2/0 UVF IEEStd1588 IEEEStd802.3 1000 / 100 / 10 ; C+ MAC :.0B. P 4: #!3. DMA C0M H 1588 rev.2 PTP WAA X0KB+ SGMII RGMII ) GMII 9.!3 0B. J 2D 12 S0 Y!3 3OTG :0/2USB # 6 IP ) USB 0/2 /3 C; 3 C; )% C; )C3 CN 0B. #USB C ) EHCI CAN2.0B # CAN O 2 #! ISO 1189811 CAN2.OB CAN2.OB : PHR 9 SD/SDIO 2.0 / MMC3.31 #!3 3 "+ : WK + SPI $. (0R C 6 * % ) % C; UARTs 12 C ;8 MF 9 *) " G 0 32 * 4 PS I/O C0 54 % IP 3 0 32 * JA GPIo 12 & (C0 32 * % ) C0 64 % (C0 22 * * C0 32 * (MIO) : (0. \0NE+ (MIO, I/O) Z. [2 /0J+ 54 % M &+ PL PS (0 PS I %! J. &+ AXI ARM AMBAR 3

0]+! J. 3 +0 QOS 0B. Q (PL) 12 (CLB)! E0. H D2 ^M (LUT) 5:!: _V8 `0M08 B P # S8 RAM ^M C M03 36 H # $. C0 72 S0 J. RAM C M03 18 ^M I;! E0. H DSP ^M 18 25 Wa bq 6" / 6B8 C0 6B8 S0. C0 48 25 I/O ^M SSTL LVDS ) LVCMOS 0B. I/O 3.3 V 1.2 V Ser Des I/O 0]+ JTAG (E IEEEStd1199.1 # C+ 9 PCI Express R ^M Root!0d0. J 2D! E0. 0B. 4

Gen2 C; S0 0B. 9 8 % 0B. 0++!0#!8 0R C! D!3 C8 16 % 60# 12/5 % e 0B. C0 12 05 fnp! S5 "+ gn : + 3 17 %!+ e h3! 0R I0M0 * Zynq7000S Zynq7000 H SoC 1!: Y Z Z Z Z Z Z Z Z Z Z M0 7007S 701 2S 7014 S 7010 70 15 7020 703 0 7035 704 5 7100 XC7Z0 XC7 XC7Z XC7Z XC XC7Z XC7 XC7Z XC7 XC7Z SK 07S Z01 014S 010 7Z 020 Z03 035 Z04 100!; 2S 01 5 0 5 CoreSight TM ARM CortexA9 MPCore TM + 5 ARM O. Cortex 6" A9 MPCo 5

O re TM $ CoreSi Y. ght TM NEON _. 6" 6". 5 /\;Q CH " 2D f 3! R 38 O 667 MHz ( 1): 766 MHz ( 667 MHz (1), 766 MHz (2), 866 MHz (3) 667 MHz (1), 800 MHz (2), 1 GHz (3) 667 MHz (1) 800 MHz 2) (2) L1 6". C M03 32 / C M03 32 Cac he L2 C M03512 Cac he 78 C M03256 "+ 0B. DDR3, DDR3L, DDR2, LPDDR2 6

78 : (1) 0B. 2x QuadSPL,NAND, NOR 78 C R : (1) 3 DM A ( 12 XK + 4) 8 : 2x UART, 2X CAN 2.0B, 2x I2C, 2x SPL, 4x 32b GPIO (1) : 2x SD/SDIO )N3C 60# C+2x USB 2.0 (OTG), 2x / DM A 7

+ )!" (1 0 (2) $ RSA Authentication, and AES and SHA 256bit Decryption and Authentication for Secure Boot 2x AXL 32b Master 2x AXL 32bit C0 64 /C0 32 784x AXL GH 16 (GH 9D8 0N 9) 12 9 $.. /0 (Cont d) Zynq7000S Zynq7000 SoC 1!: Y Z Z Z Z Z Z Z Z Z Z M0 7007 S 7012 S 7014 S 701 0 701 5 702 0 703 0 703 5 704 5 7100 " XC7Z XC7Z XC7Z XC7 XC7 XC7 XC7 XC7 XC7 XC7Z SK 007S 012S 014S Z01 0 Z01 5 Z02 0 Z03 0 Z03 5 Z04 5 100 Artix Artix Artix Arti Arti Arti Kint Kint Kint Kinte 12 R7 FPG A 7 FPG A 7 FPG A x7 FPG A x7 FPG A x7 FPG A ex R7 FPG A ex R7 FPG A ex R7 FPG A x R7 FPGA xilinc 8

9 7 12 444 K 350 K 275 K 125 K 85 K 74 K 28 K 65 K 55 K 23 K JNM 12 277.4 00 218. 600 171. 900 78.6 00 53. 200 46.2 00 17.6 00 40.6 00 34.4 00 14.4 00!: 5: 554.8 00 437. 200 343. 800 157. 200 106.40 0 92.4 00 35.2 00 81.2 00 68.8 00 28.8 00 `0M8 _V8 56.5 Mb (755) 19.1 Mb (54 5) 17.6 Mb (50 0) 9.3 Mb (26 5) 4.9 Mb (14 0) 3.3 Mb (95) 2.1 Mb (60) 3.8 Mb( 107) 2.5 Mb (72) 1.8 Mb (50) ^M 36 M03 Block RAM 2,020 900 900 400 220 160 80 170 120 66 $2H DSP (18* 25

MAC Cs) *0. EM; DSP FIR) ID 73 GMA Cs 131 GMA Cs 187 GMA Cs 100 GM ACs 200 GM ACs 276 GM ACs 593 GM ACs 1,33 4 GM ACs 1,33 4 GM ACs 2,622 GMA Cs ( PCL Expr ess Gen Gen Gen Gen (Root Gen 2 4 2 4 2 B 2 B Gen2 Com 2 4 B plex or Endp oint) ( 3) 60 17 S0 2x 12 bit, MSPS ADCs JN 03+ fnp (AMS ) / XADC 10

) C0 C B# )D2! E0. $!3 C0 256SHA AES (2 :$E Zynq7000 SoC 8 4: $0j:. E CLG225 i0e. C!' (1.!03 k:,ug585." /0D+ 12 6B. /0 9+ C0 (2.!03 k: l j PCI Express 0B. FPGAs 5+ ^M ) PG054 7 (3 GTX GTP I/Os!0#!8 h3! : M0i0E. $03+ 2!: :$E Pb # i0e..(15 [Z SBG485)! Pb I!!" C0N i0e. (1.! O SBG485/SBV485 i0e. Z7030 M0 CLG485 i0e. Z7015 Z7012S (2.! (0. (0. #.C0 F& DDR I00N3 (0. "PS I/O " (3 CN 3/3 CN 1/2 I/O gn 0B. I/O % # HR (4 11

CN 1/8 CN1/2 I/O gn 0B. I/O % EM;(5 (Con t) GTX GTP I/O!8!0# h3! : M0 i0e. $03+ 3!: : $E Pb # i0e..(15 [Z FBG FBG)! Pb I!!" C0N i0e. (1.! O.C0 F& DDR I00N3 (0. "PS I/O " (2 CN 3/3 CN 1/2 I/O gn 0B. I/O % # HR (3 1/8 CN1/2 I/O gn 0B. I/O % EM;(4 Zynq7000 \0F+ )EM; E0N )!3 j FPGA * Z. O0D Z. [2 ) Zynq7000.C 9+ ASSPs ASIC m;!" /8 G CNJ $!H O 3 3! : In Zynq7000 j E0N )! H [! )CF! G 5 Y8 CM. * % EM; (0d.! $G 6 (0 I/O PL.C B PC 4 " Zynq7000 6 12

)! j 3 0 #! H Zynq7000 SOCS Zynq7000 50 :M: #!# $;Vn )3!# *3 SK. (0 smart LP (0.! LTE E". &+ X0KB+ 7!A. o"! $0J5+ j! : IP.!3 8 PS "G 8 Y PL "G 12 : ) Zynq7000 5 33 U2 PL PS 405+.! 8 &' /0 33 ^ 0]+ ) I/O!'! J. 0N!!+ (FPGA ASSP h) "+ 3!3.!" p / (q ) 0 : 6!#.! j Zynq7000 IP 8 Y!+ Xilinx.! : PL PS : (Linux)?30N Standalone I!J 8 CK ) 8 Y &' 4 C; ) Vivado R Design suit + 90' XK") Y [n 0 # (0d ARM PS rk+.!3 8 ) /0.P It Xilinx : pl /03 o03+ IP I#!3 /8 (snr.?30n h ) 8 % L2 +0M; /0 0B. ) 3 6". * " Zynq (0d )!" G CortexA9 6". 3! 6M; /0.! :7000 C! PL 3! H 6 ( 3! 5 $!H MH PL PS ' E * :!" $! B0 PS 6"..!3 0 $F $!H 13

)" :CPU 3 8 Y 9+ PL! E0..! PL! E0. 8 Y." $ ASSP * B IP ( u" 33 ^M B0 $;Vn.Zynq7000 33 ^M S 1 E".!03 7V 8 4: UG585, Zynq7000 APSOC : $E (slave )! IB 3 IE0. CJ: (1 :! I: CJ: (2 AXI 32 Bit/64Bit, AXI 64 Bit, AXI 32 Bit, AHB 32 Bit, APB 32 Bit.! IB 6 Y 6". (0A 9 (3 6". /0 \0F+ :C MF ^M 4 "PS)C!" IB 1 E" 3 2 14

(APU) 3 6".! 78 9 (IOP) I/O : M $%&+ (APU) 3 6".! :!" "APU!0M3 # *+ ARM CortexA9 MP + 6 DMIPS2.5 :+0M;?38 # : Up to 667 MHz (1); 766 MHz (2)(/0! )Z7007S/Z7012S/Z7014S Up to 667 MHz (1); 766 MHz (2);866 MHz (3)(/0! ):Z7010/Z7015/Z7020 : 667 MHz (1); 800 MHz (2); 1 GHz (3)(_V8 `0M8)Z7030/Z7035/Z7045 : 667 MHz (1); 800 MHz (2)(_V8 `0M8)Z7100 ID + 6". CN ID + 6". )E+ 6". $0M; + 2.0 MFLOPS/MHz % Y!3 :+ 5 CH " vd SIMD 0B. NEON 6". +!3 B8 Thumb R2 0B. (C M03 32 Y!3 )5 ) 1 L2 Cache " 4 Z. C3" /07+! 0, Cache 05+ 78 C!! ( CN $0M; TrustZone R 15

8 CPU 78 Q8 PL 0. )(ACP)! W" 0. $. 9. (C M03 512)!'2 L2Cache " 8 Z. C3" /07+ ( $0M;!" 8 TrustZone (C M03256) RAM "+ $. (PL) 12 CPU 9+ Z. O CPU /3 0]+!" n N3 8DMA 4: #!3. 78 : ) : 78 ) 78 78 :(!) #!A 0B. D k. 8 +0M; I+ DMA D 3 0 P 64AXI 9 PL 8 l& 3 4 ( $0M;!" 8 TrustZone.!3 CD+ ( 0, ( (0!: )+ CR 9 + GH (GIC) M3 GH!3 3 (WDT /0 E CPU E)WDT IJ6 + + (TTC) +!" / + + CortexA9 0B. CoreSight E" (PTM) 3.!3 8! &G 2D 3(CTI) D! 9 78 9 16

C R 78 9 g (^') *0 78!3 3 * " 78 9! 0B. LPDDR2 DDR2 )DDR3L )DDR3 78 ^' 78!3 3.!" O * ) QuadSPI SM8 9 * ) NAND SM8 9 C R 78!3 3.!3.!3 0B. NOR SM8 9 ^' 78 9 # C0 16 I3 /8!+ M3+.!A DDR 78!3 3 32 0 16 )0 8 5 +! E0. G 60# 1 OP Q8 * C0 32."! E0. DRAM 78 0 9+ PHY DDR!3 3 " PS." 0B. 0 16 O CN * ECC.!" IP 8 l&i/o ;5 " 3 H 12. /0 C +.!A DDR 78!3 3.! C ^B 78 :C!" XKB )[! ( AXI slave $. DDR!3 3!+ C 8 l& L2 cache!3 3 1n ARM CPU(s) 0 64 $. *."! E0. /3 0]+.! 8 l& PL 0 64 $. ) " /0D+ 3 M $%&+ 1n AXI 6 9+ 0 64 AXI $. * (" "Z# ^" C R 78 9.!3 0B. : C R 78 C R 78 Jn O.!3 0B. C 6 64 + 0 8 SRAM O.!3 0B. C 6 64 S0 0 8 NOR SM8." 0B. ECC C0 1 ONFI1.0 NAND SM8 17

0++ NOR SM8 (0 8) #JA SPI (#JASPI) C0 4 )C0 )SPI C0 * (IOP) I/O : :"IOP!0M3 #.!" $n+ : "IOP! IEEE Std UVF 0B. IEEE Std 802.3 1000 / 100 / 10 N C+ : MAC 1588 2.0 P 4: #!3. DMA C0M H 1588 rev. 2 PTP WAJA X0KB+ : PHY 9 0B..!3 0B.. 2D 12 S0 * 3 2.0 OTG : OnTheGo! E0. 6 )Host 3 C; % C; CN 6 IP C ) 2.0 3 USB # AHB slave 9 AHB DMA 0 32 G ULPI 0 8 :PHY 9 * I3 /8 Intel EHCI # USB C!3 3 CR CAN O 9!3 3 # 3 CAN 2.0B.C!" \0F+ BOSCH Gmbh 9+ 3 2P CAN 2.0B! ISO 118981 : PHY 9 M DMA SD/SDIO!3 3 # SD/SDIO : "+ WK + M 3 $. UART slave I2C 9 18

GPIO C0 118 %!+ ( 6 ) USB $. SPIO )C+ )TrustZone /0 G.!"! E0. ( 0, ( $&!A I/O (MIO) (0. 54 % ^B IK * 1n : 6 IOP : \0F+ S0. # (!A E!+ : Y!3.!" 9+ )8 l& 3. 8 I 2 6 (!A Z. [2 Z# (" 8a) " ' (0.!" IOP 9 60 B0 )C0 83 I/O : I G (0. 54 A# 5 "! E0. " (" H I/O PL! (0. G ) PL :.!3 2.5V/3.3V! (0d LVCMOS 1.8V HSTL! MIO (0..!3 0B. M $%&+ %!A ARM AMBA AXI M &+ 1n PL 6!E IOP 78 9! APU.!3 0B.! Islave $% C! 0, M &+.!" & 0 (+3 )C!" n ARM CPU Id )0]+ O 3 M &+ ( slave % +0M; I+ $%&+ )DN PL Id )! J. +0. 78.! )IP v+ 0." /07+ M &+ (QoS) C! C0G03 ^M 1n!+ M &+ 1n *08+ 3 03+ C DMA!3 3 )CPU M0!" 5 *08+ /07+ QoS #." G )!3 jiop PS 9 PS : 9 19

l& PL (0. I;!+ 3!3 G F& (0. PS : 9 :" (.! gn?8 $ CN )C )C; : * &+! E0. 8 Y ) I/O (MIO) 8 l& 3!A (0. % C R 78!3 3 M I/O LPDDR2) DDR3L ) DDR3 ) DDR2 C0 16 C0 32 78 MIO CR 3 2P PS (0. PS : C R 78 2! )MIO EM;.!" )C!" \0F+! E0. IB 4!:. : PS IOP C R 78 9 M0 G : (0. 54 S0 MIO g ^M *.!" C"6!+ : A \MK : (0.!.C!" IB 2 E" (E PL 9+ I/O PL 1n J 0 )!" 0 54 S0 8a I/O (0. # (E $. C"6 12." k: # H (EMIO)! I/O I; # ( C S0 h.!" w! C0H!+ $. C"6 : C R 78 (0. C"6! (PCW) PS! E0.. : CAN (0.." G MIO : 9 C"6 :4!: 20

8 8a 12 (0. 6 MIO 1n (Rx Tx) 6". /0 (0. 2 :!0 Y!3 12 (0. :$E SoC 8 4: $0j:.CLG225 i0e. 8 E C!'.1.!03 : UG585) Zynq7000 MIO g ^M :2 E" 21

PSPL 9 :!+; PSPL 9 0N $n+ 0 AMBA AXI 9 32AXI 9 0 32AXI 0. 9 DDR 78 /0D 0 64 / 0 32! E0. H ) (060 AXI 0. 9 4." k: % EM; AXI $. I; 3 OCM CPU 78 0. (ACP $.) 0 64AXI 0. 9 *! 60 ) GH ) DMA CPU! $;Vn CV; O 6".! PS GIC PL :IP GH 22

DMA 3 60 JA ID! 60 PL I/O! :!B C"6 PS : (EMIO)! # I/O.!" " \H+ k" 3 C C; PL PS C; :4 PL PS C :4 6 6! E0. B# 3 j: PL! E0. 0B. (PCAP) 6".! E0. $. (PS $ &+ C PS PL C + RAM 60 XADC 9 JTAG 9 9 AXI $. % EM; ) D PL PS (0 9 EM; (+% #.!B0 G PL PS (0 +0M; I+ D % EM; AXI $..C ACP CK v+ 3 H." C! 8 Y 3 C'+ )!" 0 (v+) 6." G! ACP $. )!" 0 CPU 78 8 % EM; AXI $. AXI 78 $. 4.!3 /8 PS OCM DDR PL % EM; AXI $. 3 2.!! E0. H )0 64 9 0 32 9 $F PS PL F& FIFO!3 3 9+ 78 M $%&+ PL 9 ( )C!" IB 3 E" + $.! DDR 78!3 3 : $. +.!3 &. (OCM) "+ 78 23

PS 78 ;8 /0 PL 9 :3 E" : # % EM; AXI $.. /0 78 PL (0 B3 0]+ FIFO 1; C M031 0 64 0 32AXI 9 I;! E0. H I! Z. 50 78 M3 32 S0 0B. $%&+! J.!P3 G (" 3 0B. M OCM DDR!" F! AXI $ 0B. (ACP)! W" (v+) 1 2+ $. W" 33 * APU (0 &+ 3 C 0 64AXI 0. 9 *(ACP)! W" v+ $..!3 /8 PL DN! " & CortexA9 6". ARM (SCU) W 3! PL m0d ACP /3 0 * ACP. 8 L2 L1 cache CPU 0. cache!3 p0"v8 Un legacycache E06 D! W" PL PS (0 0]+.!3 /8 )!3 # (pl) 12 \0F+ 24

:" pl!0m3 # + 78 8&+ D2 0. CLB CLB LUT CB.!! E0. H )(SRL) 0: CG0" C0 32 2 64 1 RAM I; 78 LUT CLB _V8 `0M8 16 W 33 B P 6B8 C0 2 4 M03 36 ^M RAM H + $. C0 36 % x; RAMs M03 18 ^M $F! E0. H DSP 2H 18 25 Wa bq 0 48 6" / 6B8 H I/O ^M SSTL ) LVDS ) LVCMOS :" I/O!! 0B. I/O3.3V 1.2V D H 0, I/O 0]+ I0 (" root!0d0. 2 K 6 /3 $!H 0++!8!0# PS &+ Y6! ) 05+ J 2D /B $. ^M * K 6 PCI (XADC) 0 12 05 fnp! "+ gn 25

: 3 17 % PL! E0. g LUT 2H )CLB :"CLB # H LUT LUT I 78 C0M H 0: CG0" 0: 33 i. LUT I; : * (ROM C0 64) 6 LUT * I;!+ LUT.!B0! E0. D2! OP )5 : (ROM C0 32)." CR _V8 `0M8 * 0 $&!+ LUT : 2D * D2 Y8 )W!3 Wa (0d JP _V8 `0M8 8 LUT (0A 4 *) 2D _V8 `0M8 8 ( + 4.!3 (CLB)! E0. H D2 ^M * 2H.!"! E0. (a I; 0 $&!+ (LUT _V8 `0M8 0 64 + RAM I; LUT (0d!+ 2H!F 50 N 25 (0 # (!!: 03+.!3 G SRL16c $& (SRL32) CG0" 0:.! J 78 W )!P3 M0 12 C; C! :!" " C!!0M3 6: (0. NA C; 4+ 0 % C; (8 ) 060 8 00t+?38 o03+ jitter pm08 Lowjitter C; * " * 3 (CMTs) C; C! tile 8 S0 Zynq7000 6.!03 7V 5!:.!" (PLL) GH 8 _N * (MMCM) 03+ CN C;! 26

6 MMCM ' :5!: GH 8 _N 03+ CN C;!?38!3 o03+ * I;!+.! ^B # PLL MMCM * ) b: 3.! E C; jitter M08 I;?38 0 \0n C8 (PFD) 8?38! 3 gn 3 : (VCO) gn!3 3 6."!3 0# C; )!3 ) D!3 /0D+ S0.. O / M / D : :?38!3 /0D+ ;5 3 E! S3?38 (DRP 9+?y! E0. 9+!"!" ) M!3 /0D+.!3 Zt+ PLL 8/?38!3 D?38 IP )!3 ; (!3 Wa)!8 I; (DRP 9+?y! E0. 9+.!3 /0D+ 8!3 D 6 Zt+ S0. VCO 8 CB VOC.!" WK XKB?38 \0n I VOC 9D8 o 2! M D!+ J Y!3. (315 0 270 0 / 225 0 / 180 0 / 135 0 / 90 0 / 45 0 /0 0 )! MF8 (O6 + O0 )MMCM 7 O5 O0 ) PLL 6)!3 /0D+ E C3." /0D+ 128 + 1!; 9+ + "! E0. 9+!+ * 3 " WK / jitter \0Q+ (J 3 /3! J. CN :! jitter M08 # PLL MMCM : 3 0J CN C 8 (!3 ) h (J 3 %! J. CN.! 0 $07+ (J! 27

MMCM 8a # $F 3) 0 * *A3 (!")!3 ' *!+ MMCM.!" " : 0 * (!3 ;!8 C0M H!+ (!3 5 ⅛ 05+ 0, S8 *!+ *A3!".! S8 8 38 M0?38 o03+ VCO?38 3!3 /8 /3 S8 ^B C R 8 00t+!+ (0d MMCM.C11.2ps 8 00t+! I S8 )+ 6 1600. 6 C; 4+ ) BUFR ) BUFG)!3 /8 C; v2 \MK k S" ) Zynq7000 C; : S5# )\MK C; S5 0 + (% EM; C; BUFMR ) BUFH ) BUFIO.! H :+ /3 M0 [' +3 B 0]+ )% J: C; v2 `0M8 C;!+! : S5# (+% )J: C; 9 32 )6 J: C; 9 12.! D2 0 (0d )C/C C; 8 )_V8!+ BUFH. : (BUFH) D8 C; 8 9+ 8 C3 D2 C; I 3 * (!" D2 *! : C; " 8 0, 8 D 2 8# CMT om, J: C;.!3 [& q IP 1n C; 1n 3! j.!3 [Z C; 4+ 0]+ 3 2!+ 3!!" D2 C; 0 $F D2 *.!3 *'+ D2 C;!FD!+ D2 C; 6.C 6 J. 0 50 CLB % 50 I/O 3 " \0F+ C; 8. : D2 D2 C; 0 4. D2 14 4 (0 Zynq7000 28

0 2!+ IP?38 " 8# 8 C; (0. 4 *!+ D2." /0D+ 8 + 1 '0'F!; 9+ I/O C; 2 (Ser Des) 0++ 0, 0++! I/O 12 J+! 4 I/O C;.!3 j!" \0F+ I/O 12 SK 3 ^M RAM :!+; ^M RAM!0M3 # 72 % $. J. M03 36 + $. ^M RAM FIFO 12 0 2 UVF! C 36 0r Y!3 3 + $. ^M RAM 755 % Zynq7000 6." /0J!" 0r 9D8 3 3 D $. ^M RAM. M03 Y6 $0M; ) OP ) )." 3 C; 9+ "! )78 C!" Z# C; B0 OP.!!" CR )(" 8 C; N8.!3 zg! $0M; + 0]+ 8a A +% C; e )0 : NN 0: *.!3 5!" "!!: )MH!" 0r!+ : )(" $0M; * n.! 00t+ I!!+!3?E8 J. 29

32K 1,16K 2,8K 4,4K 9 (or 8), 2K 18 (or16), 1K 36 (or $&!+ $. {0 I! \MK! C!+ $.."! E0. 32), or 512 72 (or 64).!" "!' C!+ * 3 " /0D+ M03 18 3 D ^M!+ RAM ^M.!" 512 36 + 16K 1 \MK! RAM * (0d )!" \0F+ 3 M03 36 ^M RAM ( S0. 3 0A. E EA3 M03 18 ^M M03 36) C0 36 (RAM C0 M03 18) C0 18 S0 J. )+ E+ $. CN J+ 6! l& I! $0M; $. * CN (.!" O!+ (RAM C0 6 E0N )!" 0t!+ ((" I!) C * ) SDP CN.(" $0M;.C C R 64/72 32/36 36 RAM ^M.!" " 0t J.!+ 0 M03 36 RAM + $. C.!"! E0. 8a 12 I! 64K 1 +. RAM * I;!+ 5 0 M03 2 UVF \B3 UVF!3 G 0r )5 8a p0 C0 8!+ 0 64 ^M J. RAM.! Y5 I!!8 n (ECC) + C0 2 \B3 5 C0 2 G J. C0 72 + 64 : 78 (" I! Y6!+ (0d ECC 12." FIFO!3 3 )(!A I 0,) + C; $0M; (Y6) 5 C FIFO!3 3 C /A..N md+. md+ )N )3 :!3 0J+ N+ /A. 4! S8 0N OP H FIFO 1; J. )^M RAM B.! H P 2 N md+. md+ M3 fallthrough CN.! J. B0 (" I! $. )! 30

?..!3 j I! $0M; (0N S0. :!" " M3 (0N ).! :! CN CN ( (0 +G+ )!"! M3 (0N E DSP 2H 05 60 6". :" (EM;) 33 'N DSP 6: 25 18 + 3 6"!8 % Ua (C0 48) 60 6". ID M08 3 0J (g) q : 8F 6B8 S0. I3 B P 8 l& O 0 ALU )0 : :8B0. # 0. (J DSP 2H 3 + 6B8!8 0 DSP (B0E0M. M0 "G 33 )$!H /3 DSP 2H Zynq7000 6.!" G )!.! /0 n Z. [2 zg (0 *A3 % C; " F& 3 C 0 48 6" * F& C0 25 18 +!8 * " m DSP 2H!3 ;. $&!+ 6B8.! + 6 741 % $0M; Y5 H + C0 24)!3 Zt+ (SIMD) E+ \;Q W! *!+ 0 48 *!+ 3 12! (" / 3 / 8a +JA C0 12 " / 3 /8a.!3 5!M; D2 \MK 33 )# 8a S0. (." G ID M08 m; 3 C 8a #8a S0. * " DSP S3!F 50 + DSP $2H "!BK J! /3 Un EM; 6 ID I3 #!+ 3 C C0 24 J. 6N * " (0d DSP.! G Y6 C0 96 J. 12 33 0. H 6N W (0d." G.!" D2! v+ 0 3 C; 3!3 /8 n C0M H # : ) DSP 2H OP!N )}; ^' O!3 }+ Id )05 60. 3 31

6".! S8 BD 78 I/O 0: 8 }; O EM. N )78." G (I) Y6 (0. / %!" I; (0d : / :" PL : / EM; 6: 1866 Mb/s DDR3 0B. % EM; SelectIO TM gne+ 8 S8 60 405+ I %?38 (!:) &G IK % C; I/O $0M; )!" I0 3 $!H (3!+ 3 05!" 3?!y." G!+!+ C! E0. H I/O.C 0t i0e. 6! I/O (0.!+! E0. (0. (0]+ (0. (0]+ (0. h.!3!0. 1 2+ I/O! E (0H 9+ J+ 3! J B I/O C0M H ) PL (0. 6 )/3 M0 F&."!' l % EM; (HR) % # I; Zynq7000S Zynq7000 6 SelectIO 4 0J 1.8V 1.2V gn 0B.! (+ # HR I/O.!"! Dn (HP).!!" V CCO : 'GF * *."! * (0. 50 * I/O (0. ~ 0 )82E 8.!3!+!H l : 8 (0d 3 )! * : ) * V REF (0..! M!" 5 : 3 (V REF ) 4: gn zynq.!" " V REF gn * J+!+ 5 * *. : (5! E0. Y8 38 )! /0 i0e. " )!3 G ) 3 0 IP i0e. \MK k 7000 3 )d `0A `0M8 i0e.)% EM; `0A`0M8 )H i0e. u (3 *A3 32

i0e. % E0M0 6 )`0A `0M8 i0e..!3 I % EM; EA3 Y8 38.!" (SSO) : 9" I/ p0d0j C'+ 60 405+ 0J I/O EEN # V CCO C High )H CMOS SB3 B8 : *. *+ : e!+ /0 Un.06 H highz 9" *!+!B3 0 C Low )C 8 : 3 6 m% C 8 B0.!3 XKB : $!H CB3 \0a (0. SB3 CD) *!+ 0 $F!+ (0.." 8#!.!" " \0a % SB3 : CG: CG: I;!+ 60 (0. CG: B0 + 100Ω M 0 $F!+ (0. CG:.!"! E0. ) HT.!3 0B. LVDS! ) zynq7000 6.!.*+ (d ) I/O! * HSTL SSTL ) BLVDS ) RSDS e!+ I/O )SSTL! ) SSTL. *+ SSTL (0d HSTL.!3 0B. DDR3 9 3 1866 Mb/s %.$!H /3 I/O # 05!" 3?y C0a.3 3 ( bqd) :?y!+ (TDCI) 0a 3 05!" 3?y (.!3 /8 V CCO /2 ((+) [E" bqd V CCO 60 bqd!+!3 V;.!3 [Z TDCI G 60 Off_chip bqd! : I 3 ~7V H $!H 3 H C : CN 3 H *0++ 2 bqd ) Q8 0r CN (0A/ IOS." )Off_chip bqd D 0a 3 0r 9 3 H )! B0 $!H 0r ~0J+ IDELAY IBVF $!H/3.!" G 0. ~78 I/O 12 33

(DDR) + e.!"! E0.!" CR 03+ $F!+ : 0]+." 0B. : ~ 9+ 0]+ 52B 78ps S8 32 % + 8 $F!+ : 0]+!+.!" 0. ODELAY IDELY I; 0]+ (0A.!!0.! S3 S8 G (0!+ (0d " /07+! E0. 9+!+ OSERDES ISERDES 0 (.!3 o03+ 6 I $0M; % C; 0++ C0 I/O 3 0. I/O I!3 0,!3 : H 3 )C (OSERDES ISIRDES) 0 8 IOSERDES I/O (0..C C0 8 7 )6 )5 )4 )3) 2 JA 0++ 0++!+ 14 10 +40 x;!+ )( I/O CN) 5 (0. IOSERDES B P H 3 C CN * ISERDES.!" 0B.!+ (0d C0 C 60# 1/25 LVDS I/O SGMII 9 h 3 Y6 E.C 0R $!H /3 0++!8!0# :" zynq7000 $!H /3 0++!8!0# 6: `0M8 i0e. 0R C 60# 12/5 S0 e 9 H )% EM; GTX!8!0# H GTP!8!0# d `0A `0M8 i0e. 0R C 60# 6/6 % )`0A./0! i0e. 0R C 60# 2/6 S0 "+ "+ 9!" 0J $!H/3 CN " (DFE) /0&+ (CTLE) 2!3C8!03]+?. S0. ~8B0. D.8a ~0" D02+ 34

C8 + B PCB ICS (0 )E0. + 4 q8 0++ D 0R C 60# 200 + IB 9 $3 + " /J " JB!8 2 )+%n ( 60 405+ M D H I/O F& "+! IP. 8.C% e * 0++!0#!8.!"!0#!8! 16 + 0!0#!8 " I 03+!+ 0++!0#!8.C 03+!3C8!D C0M H 8 Y6 EM; Z.[2 P! o03+ +!3 G LC IK.!3 5 Q; I0 IP!3C8!D.!P C! D2 3 G!+ /3 e 4:?38 I3 Wa PLL 8B0. * 3! MD! 0++!8.!"!+ C0 0++ C; +!3 G 25 4 (0 l!; n!+ J. 3 9+ \0F+ H. #!+ )!0#.!" UVF $0M; n!+ 0!" \0F+! E0.!8 : Un (. " 80 63 )40 )32 )20 )16!+ C 0++! * : (.!3 N % EM; n! I 0" 0 J.! $F TXOUTCLK.!P C3 ) 5 3 : 60 PC!8 " CR /0D n!+!3 /0D+ 0++ C; o 0B. " Zt+ 0 FIFU * 1n. " G ) M 12 D 83!+ + 64B/67B 8B/10B. 64B/66B # Un 8 CK CG: (.! C3 60 {0E. (0. 0++ C0 : 60.!3 /8 $ I:!03+?. S0. (0d 60 I ) : 60 35

S3!+ I ) + +3 3. M $%&+ # 0# PC C0.! S3 $!H [&!0# 60 ) C 60 ) C 0++! *!0# : Un (.! 00t+ C0 80 16 20 32 64 ) $M3 I: * 0++ I:!0#.!3 N D2! I 0" D M 0 J.! ).!3 Zt+ /0&+!3 2 1n IP!0# C; X0KB+,P 4: C; M $%&+ # 6 PC I: 0, # G 6N. C0 #!: C; 9 * 0.!3 G # Un G D ) 0 n!3 G (NRZ) GF CB#! D RXUSRCLK C; G PL I?y.!3 (0Q+ K j 8a $!H S3 (LPM) /3 $!H CN * )!0#!8 ) +3 3..!! 60!8 C; /3 60 om, )!0#!8 (OOB)! 60 *0N 3 H ; (. C0 8 % C; 0++ D E0N.!3 G!0# 3 PCI Express 4G (." Y5 )!"!B,P H 9" 05+ ^M 6: PCI Express Un 05+ ^M. C SATA/SAS : "PCI Express Root $. J 2D C0M H 2.1 PCIExpress # ( 0R C 60# 5) 2 Ig ( 0R C 60# 2.5)1 0B. Ig # (ECRC) CRC.. (AER) 8B0. 2 # ) 8B0.! E0. # (ECRC) # 8B0. 2 36

PCI gne+ 05+ ^M * "...!0#!8 6 PCI # ) ROOT $. J 2D * I; I+ 3 C Express. (!+ ( ROOT)B $.. "! E0. ) 2.1 S ) Express 6 6 Zyng 7000APSOC (0 "G $n+ + " G #!0d0. B 3 Id )!d ASSP J 2D 6!3 5 PCI Express E+. 1n Zyng 70000 Soc HBAS 08 3 C+!3 2/5 1 2 4 8 0!+ C! E0. H n 0 n ^M ( *0E+ ) % EM; 33.!3 M; 0R C 60# 5 e 0R C 60#.! j C 1024 % Z. [2 h3! + C08w ) ^M 8B0. 060 060 ^M RAM 0++ &+ % C;!0#!8 05+ ^M E+. N % *0N % ) E08 % ) o03+ F; (.!" 2 ).!3 0. PCL Express 3!3 /8 I o3! E0. H G% J.logi CORE TM IP! [GN * xilinx 4 ^M RAM!8!0# PCI Express 05+ ^M ) \MK ^M 0 3 /0 Un.!3 9+ ) $. J 2D I ( # PL 9 C; ) + C08w h3!! ) 0 J. :! E0.. OP CR pm08 B# 4: C;?38 BD) AXl 14 ( 78 BD )AXl14! j 05+ ^M! [GN *.Xilinx MicroBlaze 6".. Un Xilinx Y8 CM. Studio / EDXn I: ( 78. C!" n TM C W!+ PCI Express n B0 $;Vn. " C8www.xilinx.com ( 05 fnp! ) XADC 37

: " XADC 6: 0 12 + Msps (ADCS) 05 fnp! Z. [2 3 9+! E0. H fnp 17 % "+ : 4: # ADC S5 Y!TAG ) "!0 XADC 3 Z. [2 fnp 2 * )Zyng70000 0#8 0 \0n!+ ) " D2 C0M H 3 H XADC.!3 405+ ) fnp 12 8 &' o03+ (.! H onk +7 0 :www.xilinx.com/ams OP B0 $;Vn. "!0 fnp 0++ 60.!03 ) fnp!8 *!3 CD+!!J6!: 0 0 12 MSPSDSS " XADC.!" ) "+ # (0+ ( 0B. : fnp 3 17 %!3 CD+.!"! E0. I : fnp 3!+ ADS 0B. 2H ) 2H *+ " fnp 60 k G0n!!J6 0 1 e + M03 500 H! 60! J.!+ fnp.!3 fnp!8 CN G +% fnp! J. 0B..!3 0B. msps. C (E F& fnp : 8 : 0 50 )!3 G(%1+) "+?8! K n.xadc I!0.!3 [Z 0 (0+ "+ MF $7 :." 0F+ CN 1/25 :IC?8 * ) ADSC C0 12 EM; : x8 S0. $F?y ) 06 H I; Un * XADC # H! h3! I! ) 0 S5 i (B0.!3 N05 "+ P.!!" 0r ) )JTAG 9 0 I F& CR ( 38

0, 0 0J+ $00t+ q8! *0++ $F!+ 3 9+!" \0F+!B ( 60 :100 h ) 3 9+!" XKB!' *.! IB Z. H." G *0++ ",P!+ /0 L2 33 :! " 3!3 0#! PL PS 33 (!A (Reset) C C! C; C! 6! E0. 8 Y 8 CK E" 0B. $!H C! (Reset) C C! ( PS.!3 /8 IP I 5! ) 6 3 C + ) C C! 33 :!3 0. 60 33 M : I3 (" C 60 Y# C IJ6 + C PL 3 C JTAG!" 0J+ C ) IJ6 + ) 8 Y (I3 C) C0 \MK+ C C; C! E0. Z. [2 ) C!" 0J5+ (Plls)!" GH 8 _N PS ) Zyng7000 J. : PS I 0N C; 7 MH.!3 /8 PS I C; MH! 39

!+ MH (?38. C (IOP) : DDR!3 3 ) APV "."! E0. 8 Y 3 C'+ D n 6! E0. PS $ 3!3 G M!A $.!8 * Zynq7000S Zynq7000 6 PL ) ( $ *.C $! E0.!8 PS.!3 0B. ( 0, ( $ SHA AES C0 256 C / B# 3 )PLI 4H ( ^M G + " ("! 0N $ 6 (00+!"! I; 6 CN (0. )C?..!3 /8 I;!+ J+ JTAG. JTAG )SD ) #JASPI )NAND ): NOR:0# H G CPU E.C!" 8# 7 NE" [! " G ( 0, $ 4 * 6 (FSBL) Z# M y3 ROM "+!3 CortexA9 ARM (0+ FSBL Xilinx.!3 : FSBL 6".!3 : OCM $.!3! E0. PL!3,P PS $ FSBL.!3 5 IP I 3!3! M PL! E0. )PL! E0.!+!3,P PS $ FSBL!0 1+ 0#.!3 $ U! (SSBL) $ M 0# Y 0 3 8 Y * %FSBL 5 SSBL!+ JP )!P C! snr XK" Xilinx )SSBL I 3! 6 4 0N $ 6 * Z#!3 9+ $!8 SSBL.!3 3 (!+ SSBL!3! E0. PL! FSBL #.! 0, )C+ )USB ) NAND)C R 78 9 3.!G0 1+! M!+! E0. )! Y5.C!"! E0. x8 S0. $07+ G (+JASPI )NOR $ &+!!" j $;Vn I+ $07+ ( )6! E0. C; J 7 40

.3 UVF 00t+.C0 I! H 3 $?. )$ ROM &+ 8 Y 8 CK E" 0B. J ARM CoreSight O Zynq7000 G E" /0 * )(ETB)!" 0+ 8 * M: ARM CoreSight : /0 (.C!" # (.!3 G (ITM). R 3 * )(PTM) 3 6M0M'+!+ 12. 8 8 CK ^' CE" vd (0d 0." E" 05+ 12 E" $. H.!" G #!: 2!" 05 6!E!+! : JTAG $. ): I 3 $0M; ARM 6".!3 M 5 $. * )!" 05 6!E E"ChipScope!" 0+ D2 0M'+ 5+ 8 Y PL E" )PL! E0. ChipScope Pro6M0M'+ Xilinx(SDK) 8 Y + C03 Id (." /0D+ JTAG 05 * H. 8 Xilinx 5 M 3 * I3 /0J (.ARM DAP 9 /0D M: )" GPS 0B. $. * )" 5 +! #ARM 8 Y + E" G IECoreSight 9. 8 (DS5 ) M M: PL FPGA Xilinx 9+!+ IP?. 6JTAG $. (.0# H G AyE D2 0M'+ 5+ PL / C0 E"! E0..!3 Y!H PL E" )M D FPGA B 0"!+ I 3 )CN $!H C! )D $!H H PL PS (.! H \MK $!H 'GF PL PS!+ 3 )!" 0 PL CN #." & ) Zt+ 4 (0. * 41

9" PL )C CN PS 3 H.!3 & 6!E PL PS $!H C!!." Y5 PL : (0. 1n PL $!H 3. 6 j C 8Y 9+!+ : $!H C!! )" G $!H 3!+ : $!H." 3 PS GPIO $!H CN :! zynq7000 9+!" j $!H 0r CN 3 :( (`0M) 12 " %." b:!" PL!+ PS!0# H \MK $!H 'GF PL PS 3. I3 (" O!5! E0. 0 PL." (" B S0.!+ PL )0.06 7 $!H 0r CN G PL! E0. I! PS C; 3 C; e.! S3 M PLLS G +6 30 + 8 S3 C; e!+ PS +!3 /0 3 0:! 3 )C;. 00t+.!3 00t+. 2!+.!3!0. )C;!0N+ 3 0: PSC; 3 0: 5 6". CN mv3 CPU " C; 6 G CortexA9 Y CPU )CN (." " 6 +0M; $!H 0t PS PL?38 PL 4 3 zynq7000 6 $!H.!03 G xillinx.com C (xpe) Xillinx $!H! (0K+ )$!H (0K+.C 78 ~BD 42

2P )!3 0B.!"! 60# 4 OP Q8 * zynq7000 6.C!" \0F+ 6!: 3 78 ~BD 6!: $;Vn G "G $;Vn (E 6.! IB \MK J6 : C; : 7!: C; ~:!' 7!:.!" O C; ~: C. E pb I! " i0e. ~ )4 E"!" IB "G $;Vn 8 #!!3 /8 E0M0 o03+ )8 B H! ( $;Vn.!06 O+ zynq7000 0N B0 $;Vn xillinx "G $;Vn 4 E" 43

S ~dk+.! IB! ( S ~dk+!: I/O 9 : )!" Cortex A9 (APU) 3 6".! 1 )(IOS) I/O : )(APU) 3 6".! )2!: )1!: )05 fnp C0 12!! E0. PS $! ) (PL) 12 \0F+ )4!: )MIO )PS : 9 6 6".! (FFG 1156 i0e. ") )2!: )1!: 8a Xc7z100 6 $;Vn.2!: )!" C!.P ^M RAM.!" 8a 5!:.C; C! 4!"!:.(APU) 3 ($!H ) 5!" [Z 44

.4!" C!.P!: APU 3 6".! 1!: 6".?38 C!.P 3 3.! 5!: ) (CLG 485) " 3!: (!" C!.P C" ) 2!: 4 0++!0#!8.2!: XC7Z030 6 SBG 485 i0e. 8a. (APU) (D2 C; 05 fnp!:) XADC )!" C!.P $!H /3 )!" C!.P (APU) 3 6".!.5 )4 )3 )2 )1!: 8a XC7Z035 6 5.4 E" C; C! ) (IOP) I/O : 3 2 1!" C!.P!:.0N &' &' 8 00t+!! Dn 6.4 E" )4 E" )RAM ^M )5!: (APU) 3 6".! ) 1 E" 2 1!" C!.P!: 7 3 3!3C0N om 45

ا مقا از ی مقا ت اختيار عز ان قرار سا مقا ت ه گرفته است. شده راي ن سايت ه فا ميباشد كه فرمت PDF ن استفاده اييد: در در صورت ايل ميتوانيد يک روی د ه های ز از سايت ه فا مرجع جديد مقا ت ه شده از ن ت معت خار