«Σχεδίαση Εφαρμογών Ψηφιακδη Συστημάτοη με τη Γλώσσα \ HDL»

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "«Σχεδίαση Εφαρμογών Ψηφιακδη Συστημάτοη με τη Γλώσσα \ HDL»"

Transcript

1 ΤΕΙ ΚΑΒΑΛΑΣ ΣΧΟΛΗ ΤΕΧΝΟΑΟηΚίίΝ ΕΦΑΡΜΟΓίΣΝ ΤΜΗΜΑ ΒΙΟΜΗΧΑΝΙΚΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ «Σχεδίαση Εφαρμογών Ψηφιακδη Συστημάτοη με τη Γλώσσα \ HDL» ΟΝΟΜΑΤΕΠΩΝΥ ΜΟ ΣΙ10ΥΧΛΣΤΩΝ ΕΥΘΥΜΙΑ Μ1ΧΑΗΛΙΔΟΥ ΑΕΜ: 1111 ΧΡΙΣΤΙΝΑ ΜΙΧΑΗΛΙΔΟΥ ΔΕΜ: 1112 ΕΙΣΗΓΗΤΗΣ: ΚΔΘΗΓΗΤΗΣ ΔΗΜΗΤΡΙΟΣ ΠΟΓΔΡΙΔΗΣ Κ Α Β ΔΔ Α 2010

2 ΠΕΡΙΕΧΟΜΕΝΑ ΚΕΦΑΛΑΙΟ I ΕΙΣΑΓΩΓΗ 1.1 Εισαγωγή Χαρακτηριστικά Γνωρίσματα Υλικού Χαρακτηριστικά Γνωρίσματα Λογισμικού...4 ΚΕΦΑΛΑΙΟ II ΠΛΑΤΦΟΡΜΕΣ & ΕΡΓΑΛΕΙΑ ΛΟΓΙΚΗΣ ΣΧΕΔΙΑΣΗΣ (PLD) 2.1 Αρχιτεκτονικές Προγραμματιζόμενων Συσκευών Πεδίου( FPD- Field Programmable Devices) Εισαγωγή Απλά PLD (SPED) Σύνθετα PLD (CPLD) Συστοιχίες Πυλών Προγραμματισμού Πεδίου (Field Programmable Gate Arrays - FPGA) Γλώσσες Περιγραφής Υλικού - Η Γλώσσα VHDL Η Σκοπιμότητα και Ανάγκες Ανάπτυξης Ειδικών Γλωσσών Περιγραφής Συστημάτων Οι Γλώσσες VHDL και Verilog Τρόποι Περιγραφής Ψηφιακών Συστημάτων με την Χρήση της Γλώσσας VHDL Γενική Περιγραφή (General Description) Δομική Περιγραφή (Structural Description) Αφαιρετική περιγραφή Λειτουργικότητας (Abstract Behavioral Description) Προσομοίωση Λειτουργίας Κώδικα VHDL Ροή Διαδικασίας Ανάπτυξης συστήματος με χρήση της Γλώσσας VHDL Λογισμικό EDA (Electronic Design Automation)...23 ΚΕΦΑΛΑΙΟ III ΠΕΡΙΓΡΑΦΗ TOY ΥΛΙΚΟΥ ΥΛΟΠΟΙΗΣΗΣ ΤΩΝ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΤΑ ΠΛΑΙΣΙΑ ΤΗΣ ΕΡΓΑΣΙΑΣ: ALTERA CYCLONE II EPGA 3.1 Γενική Περιγραφή Αρχιτεκτονική... 26

3 3.2. Λογικά Στοιχεία (Logic Elements -LE) Δομή και δυνατότητες διαμόρφωσης LE Τρόποι Λειτουργίας (Modes) LE Κανονικός Τρόπος Λειτουργίας (Normal Mode) Λριθμητικός Τρόπος Λειτουργίας (Arithmetic Mode) Λογικές Συστοιχίες (Logic Array Blocks -LABs) Δομή LAB Διασυνδέσεις μεταξύ των LAB Διασυνδέσεις LAB κατά Γραμμές (Row Interconnects) Διασυνδέσεις LAB κατά Στήλες (Column Interconnects) Μονάδες Εισόδου/Εξόδου (ΙΟΕ) ΚΕΦΑΛΑΙΟ IV ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑ ΤΩΝ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΑΩΣΣΑΣ VHDL 4.1. Μεθοδολογία Ψηφιακός ελεγκτής φωτεινών σηματοδοτών διασταύρωσης Υποσύστημα Συνδυαστικής Λογικής ( Combinational Logic) Υποσύστημα Χρονισμού ( Timing Circuits) Υποσύστημα Ακολουθιακής Λογικής ( Sequential Logic) Προσομοίωση και Περιγραφή Λειτουργιών Συστήματος Διαγράμματα Προσομοίωσης Σύστημα ασφάλειας Υποσύστημα Security Code Logic Υποσύστημα Memory Logic Προσομοίωση και Περιγραφή Λειτουργιών Συστήματος Προσομοίωση και Περιγραφή Λειτουργιών Συστήματος...68 ΚΕΦΑΛΑΙΟ V ΥΑΟΗ ΟΙΗΣΗ & ΕΠΙΔΕΙΞΗ ΤΩΝ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΤΗΝ ΠΑΑΚΕΤΑ ΑΝΑΠΤΥΞΗΣ DE Περιγραφή τηςπλακέτας DE Επίδειξη Ελεγκτή Φωτεινού Σηματοδότη Επίδειξη Συστήματος Ασφάλειας Διαδικασία Προγραμματισμού της πλακέτας...75 ΠΑΡΑΡΤΗΜΑ I : ΚΩΔΙΚΑΣ VHDL... 78

4 π 1.1 ψηφιακός Ελεγκτής Φωτεινών Σηματοδοτών Διασταύρωσης Π Υποσύστημα Συνδυαστικής Λογικής ( Combinational Logic)...78 Π Υποσύστημα Χρονισμού ( Timing Circuits)...81 Π Υποσύστημα Ακολουθιακής Λογικής ( Sequential Logic)...84 Π1.2. Σύστημα Ασφάλειας Π Υποσύστημα Security Code Logic...85 Π Υποσύστημα Memory Logic...91 ΠΑΡΑΡΤΗΜΑ 11 Π2. Σύνθεση & Υλοποίηση των Ψηφιακών Συστημάτων με χρήση του QUARTUS Π Π2.1. Περιγραφή Π2.2. Αναφορές Υλοποίησης Ψηφιακού Ελεγκτή Φωτεινών Σηματοδοτών Διασταύρωσης Π2.3 Αναφορές Υλοποίησης Συστήματος Ασφάλειας ΒΙΒΛΙΟΓΡΑΦΙΑ

5 ΚΕΦΑΛΑΙΟ I 1.1 Εισαγωγή Η πτυχιακή αυτή φιλοδοξεί να αποτελέσει ένα εισαγιογικό εγχειρίδιο στη σχεδίαση ψηφιακών συστημάτων και στην ανάπτυξη τους σε Γλώσσα Περιγραφής Υλικού Ολοκληρωμένων Κυκλωμάτων πολύ Ψηλής Ταχύτητας 1.2 Χαρακτηριστικά Γνωρίσματα Υλικού Η πλακέτα που χρησιμοποιήθηκε είναι η DE2 όπου περιέχει: Μια Altera Cyclone 11 2C35 FPGA σε έκδοση FineLine BGA 672-pin package στην οποία υλοποιήθηκαν τα δύο συστήματα της εργασίας. 512-Kbyte SRAM 8-Mbyte SDRAM 4-Mbyte Flash μνήμη FPCS16 serial FFPROM chip για τη μόνιμη αποθήκευση των αρχείων bit stream μέσω των οποίων υλοποιούνται τα δύο συστήματα στην πλακέτα. Ταλαντωτές 50ΜΗζ και 27ΜΗζ για την παραγωγή παλμών ρολογιού προς τα συστήματα που υλοποιήθηκαν. 18 διακόπτες δύο θέσεων (toggle switches) μερικοί από τους οποίους χρησιμοποιούνται κατά την εττίδειξη για την εισαγωγή/δοκιμή διαφόρων σημάτων εισόδου ττχ. πληκτρολόγιο του συστήματος ασφαλείας. 4 πλήκτρα (pushbutton switches) μερικά από τα οποία χρησιμοποιούνται επίσης κατά την επίδειξη για την εισαγωγή/δοκιμή διαφόρων σημάτων εισόδου 18 κόκκινα και 9 πράσινα LFDs που χρησιμοποιήθηκαν για την επίδειξη των σημάτων εξόδου 6)ύρα USB και κατάλληλος driver (USB-Blaster) για τον προγραμματισμό της πλακέτας με χρήση των JTAG/AS interfaces. Διακόπτη RUN/PROG για την εκτέλεση του αποθηκευμένου προγράμματος ή τον προγραμματισμό της πλακέτας. Διακόπτη ON/OFF για την παροχή ηλεκτρικής ισχύος (τάση 9V) στην πλακέτα. 1.4 Χαρακτηριστικά Γνωρίσματα Λογισμικού Το προτεινόμενο λογισμικό είναι το MAX-t-PLUS II, ένα προγραμματιζόμενο πακέτο λογικής σχεδίασης από την Altera που διατίθεται ελεύθερα στο διαδίκτυο. Συγκεκριμένα θα ασχοληθούμε με την VHDL. Η VHDL μπορεί να χρησιμοποιηθεί ως γλώσσα περιγραφής και μοντελοποίησης ψηφιακού υλικού (Hardware), δηλαδή για την περιγραφή της συμπεριφοράς, της δομής αλλά και της εφαρμογής των ψηφιακών συστημάτων. Η ανάπτυξη ενός σύνθετου ψηφιακού συστήματος είναι μια σύνθετη διαδικασία που απαιτεί σύνθετους αλγόριθμους και επεξεργασία μεγάλου όγκου δεδομένων. Για το λόγο αυτό χρησιμοποιείται εξειδικευμένο λογισμικό γνωστό σαν FDA (Electronic Design Automation).

6 Στα πλαίσια της παρούσας εργασίας για τη σύνθεση των συστημάτων χρησιμοποιείται το λογισμικό Quartus II Web Edition Altera και για την εξομοίωση της λειτουργίας τους το λογισμικό Modelsim της Mentor Graphics.

7 2 ΠΛΑΤΦΟΡΜΕΣ & ΕΡΓΑΛΕΙΑ ΛΟΓΙΚΗΣ ΣΧΕΔΙΑΣΗΣ (PLD) ΑΡΧΙΤΕΚΤΟΝΙΚΕΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΩΝ ΣΥΣΚΕΥΩΝ ΠΕΔΙΟΥ( FPD- Field Programmable Devices) Εισαγωγή Οι τεχνολογικές εξελίξεις στο χώρο των προγραμματιζόμενων συσκευών πεδίου (FPD) οδήγησαν τα τελευταία χρόνια σε δραματικές αλλαγές των διαδικασιών σχεδίασης ψηφιακών συστημάτων. Σε σύγκριση με παλιότερες γενιές ψηφιακών συστημάτων, όπου ο σχεδιασμός σε ετήπεδο κάρτας (board-level design) περιείχε μεγάλο αριθμό από chips Χαμηλής Κλίμακας Ολοκλήρωσης (Small Scale Integration - SSI) τα οποία περιείχαν μικρό αριθμό από βασικές λογικές πύλες, τα σημερινά ψηφιακά συστήματα περιέχουν chips υψηλής πυκνότητας λογικών πυλών. Αυτό ισχύει όχι μόνο για συστήματα ειδικού σχεδιασμού όπως μικροεπεξεργαστές και μνήμες, αλλά και για λογικά κυκλώματα γενικής χρήσης όπως ελεγκτές μηχανών διακριτών καταστάσεων (Finite State Machines - FSMs), μετρητές, καταχωρητές, αποκωδικοποιητές κλπ. Όταν τέτοια συστήματα πρόκειται να ενσωματωθούν στο σχεδιασμό εμπορικών προϊόντων που θα παραχθούν σε μεγάλες ποσότητες, αυτά υλοποιούνται με Application Specific Integrated Chips (ASICs) τα οποία όμως έχουν πολύ ψηλό αρχικό κόστος σχεδίασης-παραγωγής (Non Recurring Cost - NRE) πάνω από 1 εκατομμύριο δολάρια καθώς για την παραγωγή τους από εργοστάσια ημιαγωγών (silicon foundries) απαιτείται να φτιαχτούν ειδικές «μάσκες» με τη μέθοδο της λιθογραφίας. Ένα τυπικό ASIC περιλαμβάνει στρώσεις (layers) και να φτιαχτεί ισάριθμος αριθμός από μάσκες με τη λιθογραφική διαδικασία. Εττίσης μετά την κατασκευή των μασκών δεν μπορεί να γίνει καμία μετατροττή στο σχεδιασμό του chip. Επομένως για τη δημιουργία ενός πρωτότυπου κυκλώματος ή την κατασκευή ενός chip για παραγωγή σε μικρή ποσότητα, η υλοποίηση σε ASIC είναι οικονομικά ασύμφορη. Για το λόγο αυτό, για την παραγωγή των περισσότερων πρωτοτύπων χρησιμοποιείται η τεχνολογία των προγραμματιζόμενων συσκευών τιεδίου (FPD), τα οποία απαιτούν πολύ μικρό κόστος αρχικής σχεδίασης και επιτρέπουν αλλαγές στο σχεδιασμό. Τα EPDs είναι διαθέσιμα με πολύ μικρό κόστος (5-20 δολάρια το ένα), προγραμματίζονται με λογισμικό χωρίς να χρειάζεται κάποιου είδους βιομηχανική διεργασία παρά μόνο ένας κοινός ΗΑ" ή laptop και τα περισσότερα μπορούν να επαναπρογραμματιστούν χωρίς κανένα πρόσθετο κόστος ή ειδικό εξοπλισμό.

8 Οι )θ3ριες κατηγορίες FPDs είναι οι παρακάτω: SPLD - Simple Programmable Logic Devices CPLD - Complex Programmable Logic Devices FPGA - Field Programmable Logic Devices Στη συνέχεια περιγράφονται οι παραπάνω κατηγορίες FPD σε συντομία Απλά PLD (SPLD) Ο πρώτος τύπος CPLD που αναπτύχθηκε ήταν οι μνήμες PROM (Programmable Read-Only Memory ), προγραμματιζόμενου τιεριεχομένου που είχαν σαν είσοδο η γραμμές διεύθυνσης μνήμης και σαν έξοδο m γραμμές δεδομένων. Η χωρητικότητα μιας PROM ήταν 2" χ m bits και περιλάμβανε εσωτερικά έναν πλήρη αποκωδικοποιητή για την αντιστοίχιση της διεύθυνσης (η bits) σε οποιαδήποτε από τις 2" συνολικά λέξεις μνήμης. Το πλεονέκτημα των PROMs ήταν ότι μπορούσαν να υλοποιήσουν m λογικές συναρτήσεις, όσα δηλαδή τα bits της κάθε λέξης μνήμης. Επίσης, αναπτύχθηκαν ηλεκτρονικά και οπτικά επαναπρογραμματιζόμενες PROM όπως οι EPROMs (Ultraviolet-Erasable PROMs) και EEPROMs (Electrically Erasable PROMs) με χρήση ειδικών συσκευών διαγραφής και προγραμματισμού δεδομένων. Από την άλλη πλευρά, οι PROM είχαν σημαντικά μειονεκτήματα όπως αργή ταχύτητα σε σχέση με κυκλώματα λογικών πυλών, μεταβατικά φαινόμενα glitch κατά την αλλαγή τιμής των σημάτων εισόδου, υψηλή κατανάλωση ισχύος και ψηλό κόστος. Τέλος, η χρήση ενός πλήρους αποκωδικοποιητή δεν ήταν η πλέον αποδοτική προσέγγιση. Για το λόγο αυτό αναπτύχθηκε στη συνέχεια (Philips 1970) η αρχιτεκτονική PLA (Programmable Logic Array) η οποία όπως φαίνεται στο Σχήμα 1 περιλαμβάνει δύο ετιίπεδα λογικών πυλών: α. ένα επίπεδο λογικών πυλών AND προγραμματιζόμενης διασύνδεσης και β. ένα επίπεδο λογικών πυλών OR προγραμματιζόμενης διασύνδεσης.

9 Οι PLA ήταν δομημένες κατά τέτοιο τρόπο ώστε οποιεσδήποτε από τις γραμμές εισόδου να μπορούν να συνδεθούν σε οποιαδήποτε από τις πύλες AND στο επίπεδο AND ώστε να δημιουργηθούν τα κατάλληλα λογικά γινόμενα της συνάρτησης που θέλουμε να υλοποιηθεί η PLA. Παρόμοια, οποιεσδήποτε από τις γραμμές εξόδου των λογικών AND μπορούσαν να συνδεθούν σε οποιαδήποτε από τις πύλες OR στο επίπεδο OR ώστε έτσι δημιουργηθεί το άθροισμα λογικών γινομένων (SOP) που αντιστοιχεί στον πίνακα αληθείας της συνάρτησης που υλοποιεί η PLA. Οι PLA είχαν το μειονέκτημα ψηλού κόστους παραγωγής και αργής ταχύτητας λόγω των δύο εττιπέδων προγραμματιζόμενης λογικής. Τα παραπάνω μειονεκτήματα αντιμετωττίστηκαν (ΜΜΙ 1978) με την ανάπτυξη της αρχιτεκτονικής Programmable Array Logic (PAL). Όπως φαίνεται στο Σχήμα 2 οι συσκευές PAL διέθεταν μόνο ένα προγραμματιζόμενο επίπεδο λογικών AND που τροφοδοτούσε σταθερά διασυνδεδεμένες ττύλες OR. A Rip-flop feedbacks Σχήμα 2: Αρχιτεκτονική PAL Η ύπαρξη ενός μόνο ετηπέδου προγραμμαησμού στις PAL αντισταθμιζόταν από τη διαθεσιμότητα εκδόσεων με διαφορετικούς αριθμούς εισόδων/εξόδων και ττλάτη ττυλών OR. Επίσης πολλές PALs διέθεταν και στοιχεία μνήμης (flip-flops) τα οποία συνδέονταν στις εξόδους των ^ λώ ν OR ώστε να μπορούν να υλοποιηθούν και κυκλώματα ακολουθιακής λογικής. Παραλλαγές της παραπάνω βασικής αρχιτεκτονικής PAL εμφανίστηκαν με τη μορφή προϊόντων με διάφορα ακρωνύμια. Οι συσκευές τέτοιου τύπου χαρακτηρίζονται από το γενικό όρο Simple PLDs (SPLDs), με βασικά χαρακτηριστικά το χαμηλό κόστος και την ψηλή ταχύτητα από είσοδο σε έξοδο (pin-to-pin).

10 2.1.3 Σύνθετα PLD (CPLD) Με την εξέλιξη της τεχνολογίας ημιαγωγών αναπτύχθηκαν συσκευές με μεγαλύτερη χωρητικότητα λογικών ττυλών από τις SPLD. Από την άλλη πλευρά καθώς τα επίπεδα προγραμματιζόμενης λογικής μεγάλωναν πολύ καθώς αυξάνονταν οι γραμμές εισόδου, ο μόνος τρόπος για την κατασκευή συσκευών μεγάλης χωρητικότητας βασισμένων στην αρχιτεκτονική SPLD ήταν η ολοκλήρωση πολλών SPLD με ανεξάρτητες εισόδους/εξόδους σε ένα chip με παράλληλη προγραμματιζόμενη λογική διασύνδεσης (Programmable Interconnect Array -PLAc) πολύ μικρής καθυστέρησης σήματος μεταξύ των στοιχείων SPLD όπως φαίνεται στο Σχήμα 3: Ο - Ι^ϊϊ,Ι 1^1 - qj Σχήμα 3: Αρχιτεκτονική CPLD Altera Μαχ-ΙΙ Σήμερα είναι εμπορικά διαθέσιμες πολλές συσκευές FPD με αυτή την αρχιτεκτονική με το γενικό όρο Complex PLDs (CPLDs). Η πρώτη οικογένεια CPLDs με την ονομασία Classic EPLDs αναπτύχθηκαν από την Altera και ακολούθησαν οι σειρές MAX 5000, MAX 7000, MAX 9000 και ΜΑΧ-Π καθώς και αντίστοιχα προϊόντα άλλων κατασκευαστών όπως τα CPLD CoolRunner Π της Xilinx.

11 2.1.4 Συστοιχίες Πυλών Προγραμματισμού Πεδίου (Field Programmable Gate Arrays- FPGA) Οι ανάγκες ολοκλήρωσης όλο και μεγαλύτερου αριθμού λογικών ττυλών, της τάξης των 10^ λογικών ττυλών ανά συσκευή οδήγησε στην αρχιτεκτονική FPGA (Field Programmable Gate Arrays) που άλλαξαν δραστικά τον τρόπο σχεδίασης ψηφιακών συστημάτων. Όπως φαίνεται στο Σχήμα 4 η αρχιτεκτονική αυτή περιλαμβάνει ένα μεγάλο αριθμό στοιχειωδών λογικών blocks τα οποία είναι συνήθως μικροί πίνακες αλήθειας (4 έως 5 bits εισόδου/ 1 bit εξόδου τα οποία είναι διαταγμένα ομοιόμορφα και περιβάλλονται από blocks κατανεμημένης μνήμης RAM, διαύλους προγραμματιζόμενης λογικής διασύνδεσης και περιφερειακά προγραμματιζόμενα στοιχεία εισόδου/εξόδου (I/O blocks). ^ Σχήμα 4: Αρχιτεκτονική FPGA Τυπικά παραδείγματα εμπορικά διαθέσιμων FPGA είναι η οικογένεια Cyclone-Π της Altera και η οικογένεια Spartan-6 της Xilinx με αρκετές διαφορές αλλά κοινά γενικά χαρακτηριστικά αρχιτεκτονικής. Οι συσκευές FPGA είναι επαναπρογραμματιζόμενες μέσω εξωτερικής συσκευής (κοινός Ηλ. Υπολογιστής με κατάλληλο λογισμικό). Η αρχιτεκτονική της οικογένειας FPGA Cyclone-Π παρουσιάζεται αναλυτικότερα στα επόμενο κεφάλαιο.

12 2.2 ΓΛΩΣΣΕΣ ΠΕΡΙΓΡΑΦΗΣ ΥΛΙΚΟΥ- Η ΓΛΩΣΣΑ VHDL Σκοπιμότητα και Ανάγκες Ανάπτυξης Ειδικών Γλωσσών Περιγραφής Συστημάτων Είναι σήμερα διαθέσιμος ένας μεγάλος αριθμός από γλώσσες προγραμματισμού για υπολογιστές γενικής χρήσης όπως Fortran, C, C++, Java κα. Δυστυχώς, οι γλώσσες αυτές δεν είναι κατάλληλες για την περιγραφή και μοντελοποίηση ψηφιακού υλικού (Hardware). Ο λόγος είναι ότι οι παραπάνω γλώσσες είναι σχεδιασμένες για να εξομοιώνουν σειριακές διαδικασίες: Οι διάφορες λειτουργίες υπολογισμού, ελέγχου ροής κλπ. που υλοποιούνται από αντίστοιχες εντολές εκτελούνται σειριακά, μια λειτουργία την κάθε χρονική στιγμή. Καθώς πολύ συχνά η εκτέλεση μιας εντολής εξαρτάται από το αποτέλεσμα μιας προηγούμενης, η σειρά εκτέλεσης μπορεί να αλλάξει ανάλογα με τις τιμές των μεταβλητών του προγράμματος. Το παραπάνω σειριακό μοντέλο εκτέλεσης έχει δύο βασικά πλεονεκτήματα: - Σε επίπεδο ανάλυσης βοηθά τον αναλυτή/προγραμματιστή να αναπτύξει βήμα προς βήμα αλγόριθμους. - Σε επίπεδο υλοποίησης μοιάζει με την εσωτερική διαδικασία εκτέλεσης εντολών από έναν υπολογιστή και έτσι υποβοηθά τη μετάφραση ενός αλγόριθμου σε σειρά εντολών. Από την άλλη πλευρά, τα χαρακτηριστικά ενός ψηφιακού συστήματος διαφέρουν κατά πολύ από το παραπάνω σειριακό μοντέλο εκτέλεσης εντολών. Ένα τυπικό ψηφιακό σύστημα αποτελείται συνήθως από μικρότερα υποσυστήματα οι είσοδοι και έξοδοι των οποίων διασυνδέονται μεταξύ τους σύμφωνα με την εσωτερική δομή του συστήματος και επικοινωνούν μέσω των σημάτων που το ένα υποσύστημα δέχεται ή στέλνει στο άλλο. Όταν ένα σήμα αλλάζει τιμή, τα υποσυστήματα στα οποία καταλήγει και ξεκινά μια σειρά από επακόλουθες αλλαγές σημάτων στα υποσυστήματα που επηρεάζονται. Οι παραπάνω λειτουργίες γίνονται ταυτόχρονα, και κάθε λειτουργία απαιτεί συγκεκριμένο χρόνο επεξεργασίας και διάδοσης εσωτερικά στο αντίστοιχο ψηφιακό υποσύστημα που την υλοποιεί. Μετά την ολοκλήρωση κάθε τέτοιας διαδικασίας, το κάθε υποσύστημα ενεργοποιεί τα υπόλοιπα που συνδέονται στις εξόδους του και σηματοδοτεί έτσι ένα νέο σύνολο λειτουργιών στα συνδεόμενα υποσυστήματα. Η παραπάνω περιγραφή δείχνει πολλά μοναδικά χαρακτηριστικά των ψηφιακών συστημάτων, όπως η διασύνδεση μεταξύ τους, ης παράλληλες διαδικασίες και την έννοια της καθυστέρησης και του χρονισμού. Το σειριακό μοντέλο των παραδοσιακών γλωσσών προγραμματισμού δεν μπορεί να αποτυπώσει τα παραπάνω χαρακτηρισηκά των ψηφιακών συστημάτων γι' αυτό ήταν αναγκαία η ανάπτυξη ειδικών γλωσσών περιγραφής υλικού (Hardware Description Languages- HDL) που σχεδιάστηκαν ειδικά σύμφωνα με το μοντέλο ψηφιακού υλικού.

13 Τα κύρια χαρακτηριστικά που απαιτείται να έχει μια γλώσσα HDL είναι τα παρακάτω: 1. Μπορεί να αποτυπώσει τις έννοιες της οντότητας (entity), διασύνδεσης (connectivity), παράλληλης διεργασίας (concurrency), και χρονισμού (timing). 2. Μπορεί να δώσει πληροφορία καθυστέρησης και χρονισμού. 3. Περιλαμβάνει δομές που μπορούν να περιγράφουν τη δομική υλοποίηση ( block diagram) ενός συστήματος διασυνδεδεμένων εξαρτημάτων. 4. Περιλαμβάνει δομές που μπορούν να περιγράφουν εναλλακτικά και σειριακές διαδικασίες όπως οι παραδοσιακές γλώσσες προγραμματισμού σε περίπτωση που θέλουμε να δώσουμε πιο αφηρημένη (abstract) περιγραφή της συμπεριφοράς ενός συστήματος (behavioral description). 5. Μπορεί να περιγράφει λειτουργίες και δομές σε διάφορα ετήπεδα λεπτομέρειας όπως π.χ. σε επίπεδο λογικής πύλης (χαμηλό) ή ανταλλαγής δεδομένων μεταξύ καταχωρητών (Register Transfer Level -RTL). 6. Περιλαμβάνει δομές που υποστηρίζουν μια ιεραρχική διαδικασία σχεδιασμού Οι Γλώσσες VHDL και Verilog Οι γλώσσες VHDL και Verilog είναι οι δύο πλέον διαδεδομένες γλώσσες HDL. Αν και το συντακτικό και η εμφάνιση των δύο γλωσσών διαφέρουν κατά πολύ, οι δυνατότητες και λειτουργίες τους είναι παρόμοιες: Τα αρχικά VHDL σημαίνουν Very High speed integrated circuit HDL (Γλώσσα Περιγραφής Υλικού Ολοκληρωμένων Κυκλωμάτων πολύ Ψηλής Ταχύτητας). Η ανάπτυξη της VHDL είχε αρχικά υποστηριχθεί από το Υπουργείο Άμυνας των ΗΠΑ στις αρχές της δεκαετίας του '80 σαν ένα πρότυπο τεκμηρίωσης υλικού και αργότερα υιοθετήθηκε από το IEEE (Institute of Electrical and Electronics Engineers) το οποίο το αναβάθμισε σε πρότυπο (standard) IEEE με κωδικό 1076 το 1987, γνωστό σαν VHDL-87. Όπως κάθε πρότυπο IEEE αναθεωρείται κάθε τέσσερα χρόνια, και το πρότυπο VHDL αναθεωρήθηκε το Το αποτέλεσμα της αναθεώρησης είναι γνωστό σαν VHDL- 93, ενώ κάποιες μικρές αλλαγές και διορθώσεις που έγιναν το 2001, αναφέρονται σαν VHDL Οι παραπάνω εκδόσεις αναφέρονται και με τη μορφή IEEE για την VHDL-87 και IEEE για την VHDL-2001 αντίστοιχα. Μετά την αρχική έκδοση, διάφορες επεκτάσεις αναπτύχθηκαν για να καλύφουν ανάγκες σχεδίασης και μοντελοποίησης όπως: IEEE standard , VHDL Analog and Mixed Signal Extensions (VHDLAMS): ορίζει επεκτάσεις για την περιγραφή αναλογικών και μικτών σημάτων. IEEE standard , VHDL Mathematical Packages: ορίζει πρόσθετες μαθηματικές συναρτήσεις για πραγματικούς και μιγαδικούς αριθμούς. IEEE standard , Synthesis Packages: ορίζει αριθμητικές πράξεις σε ακολουθίες δυαδικών φηφίων. 12

14 IEEE standard , VHDL Initiative Towards ASK Libraries (VITAL): ορίζει μηχανισμούς για την προσθήκη πληροφορίας χρονισμού σε ASIC cells. IEEE standard , VHDL Register Transfer Level (RTL) Synthesis: ορίζει ένα υποσύνολο της γλώσσας VHDL που είναι κατάλληλο για σύνθεση σε Hardware. IEEE standard I Multivalue Logic System for VHDL Model Interoperability (std-logicj164): ορίζει νέους τύπους δεδομένων για την υποστήριξη πρόσθετων δυνατών τιμών λογικών μεταβλητών όπως 'X', ΊΙ', 'Ζ' IEEE standard , VHDL Waveform and Vector Exchange to Support Design and Test Verification (WAVES): ορίζει πώς μπορεί να χρησιμοποιηθεί η VHDL για την ανταλλαγή πληροφορίας σε περιβάλλον εξομοίωσης.

15 2.3 ΤΡΟΠΟΙ ΠΕΡΙΓΡΑΦΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΤΗ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Η γλώσσα VHDL έχει σχεδιαστεί ώστε να περιγράφει υλικό (hardware) σε όλες τις φάσεις ανάπτυξής του, από την αρχική φάση καθορισμού των λειτουργικών του χαρακτηριστικών μέχρι την τελική διαμόρφωση της εσωτερικής του δομής. Αντίστοιχα υπάρχουν τρεις διαφορετικοί τρόποι περιγραφής ενός συστήματος με χρήση της γλώσσας VHDL: Γενική περιγραφή (General Description) Δομική περιγραφή (Structural Description) Αφαιρετική περιγραφή Αειτουργικότητας (Abstract Behavioral Description) Στη συνέχεια παρουσιάζονται οι τρεις παραπάνω τρόποι με τη χρήση του παραδείγματος ενός κυκλώματος ελέγχου άρτιας πλειοψηφίας (even parity detector). To κύκλωμα έχει σαν είσοδο ένα δίαυλο τριών bits a(2), a(l) και a(0) και παράγει στην έξοδο το σήμα even που παίρνει την τιμή Τ όταν οι είσοδοι έχουν μηδενικό ή άρτιο (2) αριθμό τιμών Τ. Ο πίνακας αλήθειας του κυκλώματος είναι επομένως ο παρακάτω: Είσοδος a(2:0) (3 bits) Έξοδος even Το κύκλωμα ορίζεται στην VHDL σαν μία λογική οντότητα (entity) με εισόδους τα σήματα του διαύλου a(2) έως a(0) και έξοδο το σήμα even: library ieee ; use ieee.std_ logic_l 164.all; -entity declaration entity even-detector is port( a : in std_ logic_vector ( 2 downto 0 ); even: out std_logic ); end even-detector;

16 2.3.1 Γενική περιγραφή (General Description) Η γενική περιγραφή αποτυπώνει τις σχέσεις μεταξύ των σημάτων εξόδου και των σημάτων εισόδου του κυκλώματος με τη μορφή αθροίσματος λογικών γινομένων (Sum of Products - SOP) όπως αυτές προκύπτουν από την απλοποίηση του πίνακα αλήθειας. Στο συγκεκριμένο παράδειγμα, μετά από απλοποίηση του παραπάνω πίνακα παίρνουμε την παρακάτω έκφραση Boole για την έξοδο του κυκλώματος : even = a(2). a(iy. a(oy + a(2)'. a(1). a(0) * a(2). a(iy. a(0) + a(2). a(1). a(0)' η οποία αντιστοιχεί στο παρακάτω σχηματικό διάγραμμα του κυκλώματος; Σχήμα 5 : Διάγραμμα Λογικών Πυλών Κυκλώματος Ελέγχου Άρτιας Πλειοψηφίας Ο κώδικας της αντίστοιχης αρχιτεκτονικής VHDL με όνομα sop-arch είναι: -architecture body architecture sop-arch of even - detector i s begin signal pi, p2, p3 p4 : stdjogic; even <= ( pi or p2) or ( p 3 or p4 ); p 1<= ( not a ( 2 )) and (not a (1)) and ( not a( 0 ) ); p 2 <= ( not a ( 2 )) and a (1) and a( 0 ) ; p3 <= a ( 2 ) and ( not a ( 1) ) and a( 0 ) ; p4 <= a ( 2 ) and a ( 1) and (not a( 0)); end sop-arch ; Παρατηρούμε ότι στην παραπάνω αρχιτεκτονική έχουμε ένα σύνολο λογικών σχέσεων στις οποίες συμμετέχουν τα σήματα του διαύλου εισόδου a(2)-a(0), κάποια εσωτερικά σήματα ρ1-ρ4 και το σήμα εξόδου. Το βασικό δομικό στοιχείο της αρχιτεκτονικής είναι η εντολή ταυτόχρονης αντικατάστασης (concurrent statement) όπως η εντολή; even <= ( ρ1 or ρ2) or ( ρ 3 or ρ4 ); την οποία μπορούμε να δούμε σαν την περιγραφή ενός κυκλώματος. Στο αριστερό σκέλος βλέπουμε την έξοδο του κυκλώματος (even) ενώ οι μεταβλητές στο δεξί

17 σκέλος είναι οι είσοδοι. Η λογική έκφραση στο δεξί σκέλος τιεριγράφει την εσωτερική επεξεργασία των σημάτων στο κύκλωμα. Η σειρά με την οποία είναι γραμμένες οι εντολές ταυτόχρονης αντικατάστασης δεν έχει καμία σημασία καθώς θεωρείται ότι όλες εκτελούνται παράλληλα και κάθε μεταβολή στο αποτέλεσμα της μιας επηρεάζει όλες τις υπόλοιπες στις οποίες συμμετέχει σαν είσοδος το αποτέλεσμα της πρώτης Δομική περιγραφή (Structural Description) Η δομική περιγραφή αποτυπώνει την εσωτερική δομή του κυκλώματος με τη μορφή διασυνδεδεμένων εξαρτημάτων (components) όπως φαίνεται στο παρακάτω σχήμα: 8(0) 8(1) Σχήμα 6 : Διάγραμμα Δομής Κυκλώματος Ελέγχου Άρτιας Πλειοψηφίας Υποθέτουμε ότι διαθέτουμε μια βιβλιοθήκη VHDL η οποία περιέχει τα εξαρτήματα χογ2 και notl, τα οποία υλοποιούν τις λογικές πύλες XOR και NOT αντίστοιχα. Το κύκλωμα μπορεί να περιγραφεί με την παρακάτω αρχιτεκτονική δομικής περιγραφής VHDL με όνομα struct-arch είναι ο παρακάτω: architecture struct-arch of even-detector is declaration for nor gate component xor2 port( il, i2 : in stdjogic ; ol :out stdjogic ); end component; declaration for invertor component notl port( il, i2 : in stdjogic ; ol :out std_logic ); end component; signal sigl, sig2 : stdjogic ;

18 instantiation o f the 1st xor instance unitl : xor2 port map ( il => a(0), i2 => a(l), ol => sigl ); instantiation o f the 2nd xor instance unit2 : xor2 port map ( il => a(2), i2 => sigl, ol => sig2 ); instantiation o f invertor unit3 : notl port map ( il => sigl, ol => even); end struct-arch ; Στον παραπάνω κώδικα παρατηρούμε ότι κάθε εξάρτημα (component) πρώτα ορίζεται και μετά αρχικοποιείται με τη χρήση κατάλληλων εντολών instantiation. Από τη στιγμή που ορίσουμε ένα εξάρτημα δεν μας απασχολεί η εσωτερική δομή του παρά μόνο τα λειτουργικά του χαρακτηρισηκά. Άρα για τη δομική περιγραφή ενός κυκλώματος βασιζόμαστε στην ύπαρξη προκατασκευασμένων εξαρτημάτων που έχουμε ήδη διαθέσιμα. Επομένως η δομική περιγραφή χρησιμεύει στην ιεραρχική ανάτττυξη ενός σύνθετου συστήματος. unitl : xor2 port map ( il => a(0), i2 => a(l), ol => sigl ); H εντολή περιλαμβάνει τρία στοιχεία: - την ετικέτα (label) unitl που χρησιμεύει για την απόδοση ταυτότητας στο συγκεκριμένο εξάρτημα - το όνομα xor2 του εξαρτήματος που αρχικοποιείται - την αντιστοίχιση port map μεταξύ των θυρών του εξαρτήματος il, ϊ2, ο1 και των σημάτων στο σχηματικό διάγραμμα του κυκλώματος a(0), a(l) και siglαvτίστoιχα. Η αρχιτεκτονική δομικής περιγραφής είναι ουσιαστικά μια μεταφορά του διαγράμματος διασύνδεσης των εξαρτημάτων σε μορφή κειμένου. Η διασύνδεση των εξαρτημάτων γίνεται έμμεσα με τον ορισμό των σημάτων και την αντιστοίχιση τους σε θύρες εισόδου και εξόδου των ετημέρους εξαρτημάτων. Τέλος οι αρχικοποιήσεις των εξαρτημάτων είναι και αυτές εντολές ταυτόχρονης αντικατάστασης και μπορούν να συνδυαστούν με εντολές αντικατάστασης όπως αυτές που είδαμε στην προηγούμενη παράγραφο.

19 2.3.3 Αφαιρετική ττεριγραφή Λειτουργικότητας (Abstract Behavioral Description) Η ανάπτυξη ενός σύνθετου συστήματος μπορεί να είναι πολύπλοκη και χρονοβόρα. Στο αρχικό της στάδιο θέλουμε συχνά να αποτυπώσουμε τη λειτουργικότητα του συστήματος και να κάνουμε με ευκολία όποιες τροποποιήσεις χρειάζονται μέχρι να βεβαιωθούμε ότι μας εξυπηρετεί πριν ξεκινήσουμε τη λεπτομερή κατασκευή του. Καθώς ο ανθρώπινος τρόπος σκέψης και οι αλγόριθμοι έχουν σαν επίκεντρο σειριακές ακολουθίες βημάτων, ένα σχήμα σειριακών ακολουθιών, παρόμοιο με αυτό των παραδοσιακών γλωσσών προγραμματισμού είναι το πλέον κατάλληλο για την αρχική φάση σχεδιασμού. Η γλώσσα VHDL παρέχει δομές σειριακής λογικής, όπως δήλωση μεταβλητών και σειριακή εκτέλεση εντολών οι οποίες αποτελούν μια εξαίρεση της βασικής της φιλοσοφίας που είδαμε παραπάνω και ενσωματώνονται σε μια ειδική ενότητα που ονομάζεται διαδικασία (process) και έχει την παρακάτω μορφή; process (sensitivity-list) variable declarations; begin sequential statements; end process; Κάθε διαδικασία ορίζεται με μια λίστα σημάτων (sensitivity list) και ενεργοποιείται κάθε φορά που ένα από τα σήματα της λίστας αλλάζει τιμή. Στο εσωτερικό της διαδικασίας υπάρχουν μεταβλητές και σειριακές εντολές αντικατάστασης τιμών των μεταβλητών, ελέγχου ροής της διαδικασίας κλπ. παρόμοιες με αυτές των παραδοσιακών γλωσσών προγραμματισμού. Στο συγκεκριμένο παράδειγμα του κυκλώματος πλειοψηφίας η αφαιρετική περιγραφή λειτουργικότητας υλοποιείται με την παρακάτω αρχιτεκτονική VHDL με όνομα beharch: β(2)- 8(1)- ptoeess(a) vaiiable sun, r. intoger. begin sum:=0; Iorlin2<taiwto0)oop lfe(l)»*rttien β (0 )- Σχήμα 7. Διάγραμμα Διαδικασίας Κυκλώματος Ελέγχου Άρτιας Πλειοψηφίας

20 architecture beh-arch of even-detector i s begin process ( a ) variable sum, r : i n t e g e r ; begin sum := 0; for i in 2 downto 0 loop if a (i) = ' I' then sum := sum + i; end if; end loop ; r := sum mod 2; if (r=0) then else even <= Ό'; end if; end process; end beh-arch ; Στον παραπάνω κώδικα παρατηρούμε το αλγοριθμικό περιεχόμενο της διαδικασίας: ο αλγόριθμος πρώτα αθροίζει τα ψηφία Τ της εισόδου, στη συνέχεια υπολογίζει το υπόλοιπο της διαίρεσης με το 2 και τέλος χρησιμοποιεί την εντολή ελέγχου if για να ελέγξει την τιμή του υπολοίπου και να δώσει την ανάλογη τιμή στο σήμα εξόδου. Η διαδικασία ενεργοποιείται κάθε φορά που αλλάζει τιμή ένα από τα σήματα a(2), a(l), a(0). Όπως βλέπουμε η αλγοριθμική δομή της διαδικασίας δεν έχει άμεση αντιστοίχιση με τις λογικές πύλες ή τα δομικά εξαρτήματα hardware που είδαμε στους προηγούμενους τρόπους περιγραφής. Επομένως η δομική περιγραφή χρησιμεύει για το αρχικό στάδιο ανάπτυξης ενός σύνθετου συστήματος. 2.4 ΕΞΟΜΟΙΩΣΗ ΛΕΙΤΟΥΡΓΙΑΣ ΚΩΔΙΚΑ VHDL Μετά την ανάπτυξη ενός συστήματος σε VHDL ένα πολύ σημαντικό βήμα είναι ο έλεγχος της λειτουργικής του συμπεριφοράς σε σχέση με την εττιθυμητή. Εντελώς ανάλογα με τη δοκιμή μιας πλακέτας σε ένα ηλεκτρονικό εργαστήριο όπου χρησιμοποιούμε κατάλληλη γεννήτρια κυματομορφών στην είσοδο και παρατηρούμε τα σήματα εξόδου σε ένα παλμογράφο ή λογικό αναλυτή (Logic Analyzer), η εξομοίωση της λειτουργικής συμπεριφοράς κώδικα VHDL είναι ένας ιδεατός εργαστηριακός έλεγχος όπου αντί για πλακέτα έχουμε κώδικα VHDL. Αντί για γεννήτρια κυματομορφών, χρησιμοποιούμε ένα ειδικό αρχείο περιγραφής των σημάτων εισόδου και συλλογής των σημάτων εξόδου. Το πλαίσιο αυτό ελέγχου ονομάζεται testbench (πάγκος εργασίας) και απεικονίζεται σχηματικά ως εξής:

21 Σχήμα 8 : Πλαίσιο Ελέγχου Λειτουργίας (Testbench) Κυκλώματος Ελέγχου Άρτιας Πλειοψηφίας Ο κώδικας του αρχείου ελέγχου VHDL για το κύκλωμα του παραδείγματος που εξετάζουμε είναι ο παρακάτω: library ieee ; use ieee.std_logic_1164.all; entity even-detector-tes tbench is end even-detector-testbench; architecture tb-arch of even-detector-testbench is component even-detector port( a: in std_logic_vector (2 downto 0) ; even: out stdjogic ): end component; signal test-in: std_logic_vector ( 2 downto 0); signal test-out: std_logic; begin instantiate the circuit under test uut: even-detector port map( a=>test-in, even=>test-out); test vector generator process begin test-in <= 000 ; wait for 200 ns; test-in <= 001 ; wait for 200 ns; test-in <= 010 ; wait for 200 ns; test-in <= 011 ;

22 wait for 200 ns; test-in <= 100, wait for 200 ns; test-in <= 101, wait for 200 ns; test-in <= 110, wait for 200 ns; test-in <= 111, wait for 200 ns; end process; begin wait on test-in; wait for 100 n if ( (test-in="000 and test-out = T ) or (test-in="001 and test-out = O') or (test-in="010 and test-out = O') or (test-in="0u and test-out = Ί ' ) or (test-in="100 and test-out = 'O' ) or (test-in="101 and test-out = Ί ' ) or (test-in=" 110 and test-out = T ) or (test-in=" 111 and test-out = 'O' ) then error-status : = f a 1s e ; else error-status : = true ; end if; -error reporting assert not error-status report " test failed. severity note ; end process; end tb-arch; To παραπάνω αρχείο περιλαμβάνει: α. μια διαδικασία παραγωγής σημάτων εισόδου με συγκεκριμένες τιμές και διάρκεια β. μια διαδικασία ελέγχου της αντίστοιχης τιμής του σήματος εξόδου και εκτύπωσης διαγνωστικού μηνύματος σφάλματος σε περίπτωση που η έξοδος του κυκλώματος δεν είναι η αναμενόμενη. Παρατηρούμε ότι το αρχείο ελέγχου δεν έχει σήματα εισόδου και εξόδου.

23 2.5 ΡΟΗ ΔΙΑΔΙΚΑΣΙΑΣ ΑΝΑΠΤΥΞΗΣ ΣΥΣΤΗΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Η γλώσσα VHDL παρέχει ένα ενιαίο και πλήρες περιβάλλον ανάπτυξης συστημάτων. Εκτός από τις δομές περιγραφής του συστήματος κατά τα διάφορα στάδια ανάπτυξης, από το αρχικό στάδιο αφαιρετικής περιγραφής μέχρι το τελικό στάδιο αντιστοίχισης και δρομολόγησης (placement-and-routing) σε ένα FPGA chip, παρέχει ετιίσης ένα περιβάλλον ελέγχου της λειτουργικότητας του συστήματος. Με βάση τα παραπάνω η συνολική διαδικασία ανάπτυξης ενός ψηφιακού συστήματος με χρήση της γλώσσας VHDL συνοψίζεται στο παρακάτω διάγραμμα: / ^ / Σχήμα 9: Διαδικασία ανάπτυξης ψηφιακού συστήματος με χρήση της -γλώσσας VHDL

24 Η σχεδίαση ενός συστήματος ξεκινά με μια αφαιρετική τιεριγραφή υψηλού ετητιέδου και ένα αρχείο ελέγχου (testbench) το οποίο περιέχει ένα σύνολο δοκιμαστικών σημάτων που επιτρέπουν στο σχεδιαστή να μελετήσει λεπτομερώς τη λειτουργικότητα του συστήματος, να βρει έγκαιρα όποιες αποκλίσεις από την ετηθυμητή συμπεριφορά του συστήματος και να διευκρινίσει πλήρως τις προδιαγραφές του συστήματος. Αφού κατανοηθούν και οριστικοποιηθούν οι προδιαγραφές του συστήματος μπορεί να ξεκινήσει η διαδικασία μετασχηματισμού της περιγραφής σε δομική και γενική μέχρι να οριστικοποιηθεί κώδικας περιγραφής VHDL σε επίπεδο ανταλλαγής δεδομένων μεταξύ καταχωρητών (Register Transfer Level -RTL). Σε όλη την παραπάνω διαδικασία μετασχηματισμού του κώδικα ελέγχεται συστηματικά ότι η λειτουργικότητα του συστήματος παραμένει σύμφωνη με τις προδιαγραφές. Ο κώδικας RTL εισάγεται στη συνέχεια για επεξεργασία σύνθεσης με κατάλληλο λογισμικό EDA (Electronic Design Automation software) όπως περιγράφεται στη συνέχεια, το οποίο παράγει την περιγραφή του συστήματος σε επίπεδο λογικής πύλης (gate-level netlist) η οποία στη συνέχεια εισάγεται σε ειδικό λογισμικό αντιστοίχισης (technology mapping)kai δρομολόγησης (placement and routing software) το οποίο παράγει αρχεία περιγραφής του συστήματος σε format που είναι κατάλληλο για προγραμματισμό FPD. Η διαδικασία αντιστοίχισης (technology mapping) είναι η διαδικασία μετασχηματισμού της περιγραφής σε επίπεδο λογικής πύλης (netlist) σε περιγραφή με χρήση τυποποιημένων εξαρτημάτων από μια βιβλιοθήκη του προγραμματιζόμενου FPD που έχουμε σαν στόχο για τη φυσική υλοποίηση του συστήματος. Τα εξαρτήματα αυτά ονομάζονται cells και η σχετική βιβλιοθήκη συνήθως παρέχεται από τον κατασκευαστή του FPD. Πριν τον τελικό προγραμματισμό του FPD εξομοιώνεται ο τελικός κώδικας σε σχέση με τις προδιαγραφές χρονισμού (Timing Analysis). Στο σημείο αυτό θα πρέπει να διευκρινιστεί 0u μόνο ένα υποσύνολο της γλώσσας VHDL μπορεί να χρησιμοποιηθεί για σύνθεση από κατάλληλο λογισμικό EDA. Αρκετά από τα στοιχεία της γλώσσας VHDL όπως εντολές επεξεργασίας αρχείων ή αριθμητικής κινητής υποδιαστολής είτε δεν έχουν νόημα για τη σύνθεση σε Hardware είτε είναι πολύ σύνθετες για αυτόματη σύνθεση. Το TF.EF. έχει ορίσει με το πρότυπο IEEE ένα υποσύνολο της VHDL που είναι κατάλληλο για σύνθεση σε επίπεδο RT-level. Παρά τους περιορισμούς, το ΕΕΕΕ εξακολουθεί να αποτελεί ένα αρκετά πλούσιο και ευέλικτο περιβάλλον ανάπτυξης με δυνατότητα διαφορετικών εναλλακτικών τύπων περιγραφής όπως αναπτύχθηκε παραπάνω. Αξίζει τέλος να σημειωθεί ότι η αυτοματοποιημένη διαδικασία σύνθεσης Hardware μπορεί να κάνει μόνο τοπική αναζήτηση και βελτιστοποιήσεις, με αποτέλεσμα το τελικό αποτέλεσμα κυκλώματος να εξαρτάται από την ποιότητα της περιγραφής σε γλώσσα VHDL. Περιγραφή που είναι φτωχή σε ποιότητα μπορεί να οδηγήσει σε μεγάλο χρόνο επεξεργασίας (CPU time) για τη σύνθεση με αποτέλεσμα ένα πιο πολύπλοκο σύστημα από αυτό που θέλουμε ή ακόμα και αδυναμία σύνθεσης του συστήματος.

25 2.6 ΛΟΓΙΣΜΙΚΟ EDA (Electronic Design Automation) H ανάπτυξη ενός σύνθετου ψηφιακού συστήματος είναι μια σύνθετη διαδικασία που απαιτεί σύνθετους αλγόριθμους και επεξεργασία μεγάλου όγκου δεδομένων. Για το λόγο αυτό χρησιμοποιείται εξειδικευμένο λογισμικό γνωστό σαν EDA (Electronic Design Automation). Καθώς οι υπολογιστές γίνονται όλο και ισχυρότεροι τίθεται το ερώτημα κατά πόσο η σχεδίαση ψηφιακών συστημάτων θα μπορούσε να αυτοματοποιηθεί εντελώς. Το ιδανικό σενάριο θα ήταν σχεδιαστές (άνθρωποι) να έδιναν μια υψηλού επιπέδου περιγραφή και το λογισμικό EDA να έκανε όλη τη σύνθεση και αντιστοίχιση σε FPD. Η απάντηση είναι ωστόσο αρνητική λόγω θεωρητικών περιορισμών που δεν μπορούν να αντιμετωτηστούν ούτε με ταχύτερους υπολογιστές ούτε με εξυπνότερο κώδικα EDA. Το λογισμικό σύνθεσης είναι κυρίως ένα εργαλείο σύνθεσης και (τοτηκής) βελτιστοποίησης που δεν μπορεί να τροποποιήσει την αρχική αρχιτεκτονική του συστήματος ή να βελτιώσει την ποιότητα μιας τιεριγραφής σε γλώσσα VHDL. Η αποτελεσματικότητα του λογισμικού σύνθεσης εξαρτάται από την αρχική ττεριγραφή του συστήματος και την εμπειρία που έχει αναπτύξει ο σχεδιαστής. Στα πλαίσια της παρούσας εργασίας για τη σύνθεση των συστημάτων χρησιμοποιείται το λογισμικό Quartus Π Web Edition Altera και για την εξομοίωση της λειτουργίας τους το λογισμικό Modelsim της Mentor Graphics.

26 3 ΠΕΡΙΓΡΑΦΗ TOY ΥΛΙΚΟΥ ΥΛΟΠΟΙΗΣΗΣ ΤΩΝ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΤΑ ΠΛΑΙΣΙΑ ΤΗΣ ΕΡΓΑΣΙΑΣ : ALTERA CYCLONE II FPGA 3.1 ΓΕΝΙΚΗ ΠΕΡΙΓΡΑΦΗ Τα ψηφιακά συστήματα που αναπτύσσονται στην εργασία αυτή έχουν σαν στόχο υλοποίησης την FPGA Cyclone IIEP2C20 της Altera. Η οικογένεια FPGA Cyclone Π είναι χαμηλού κόστους και περιλαμβάνει chips που διαθέτουν έως στοιχεία λογικής επεξεργασίας (Logic elements -LEs), έως 622 ακίδες εισόδου/εξόδου (I/O pins) και έως 1.1 Mbits ενσωματωμένης μνήμης. Η FPGA Cyclone Π κατασκευάζεται με διεργασία 90nm και διαθέτει αρκετή χωρητικότητα για την υλοποίηση σύνθετων ψηφιακών συστημάτων σε ένα μόνο chip με χαμηλό κόστος. Είναι κατάλληλη για εφαρμογές στη βιομηχανία αυτοκινήτων, ηλεκτρονικών συσκευών, τηλεπικοινωνιών, επεξεργασίας video, ελέγχου και μετρήσεων. Σχήμα 1: Εκπαιδευτική Πλακάτα Ανάπτυξης που περιλαμβάνει Cyclone IIEP2C20 FPGA

27 Η Cyclone II υποστηρίζει ετήσης τον ενσωματωμένο ε^ξεργαστή Nios II με τον οποίο μπορούν να αναπτυχθούν σε software ενσωματωμένα συστήματα. Ένας ή περισσότεροι ενσωματωμένοι ετιεξεργαστές Nios Π μπορούν να χωρέσουν σε ένα chip Cyclone-II FPGA παρέχοντας τη δυνατότητα γρήγορης ανάπτυξης πολύπλοκων συστημάτων σε software. Τέλος η Cyclone II συνοδεύονται από το δωρεάν λογισμικό σχεδίασης και σύνθεσης ψηφιακών συστημάτων Quartus II Web Edition της Altera καθώς και μια βιβλιοθήκη πυρήνων ττνευματικής ιδιοκτησίας (ΕΡ cores), που αποτελούν έτοιμα υποσυστήματα για ενσωμάτωση σε μια σχεδίαση ΑΡΧΙΤΕΚΤΟΝΙΚΗ Η Cyclone II διαθέτει 2-διάστατη αρχιτεκτονική Αογικών Συστοιχιών (Logic Array Blocks -LABs), για την υλοποίηση της κατά περίπτωση λογικής (custom logic) που επιθυμεί ο σχεδιαστής. Επίσης διαθέτει οριζόντιες και κάθετες γραμμές διασύνδεσης (Interconnects) των LABs με πολύ χαμηλή καθυστέρηση διάδοσης σήματος. Εκτός από τα LABs, η Cyclone Π περιέχει ενσωματωμένα blocks μνήμης και μονάδων πολλαπλασιασμού (embedded multipliers), δίκτυο διανομής παλμών ρολογιού έως 16 διαφορετικών ρολογιών και έως 4 κυκλώματα PLL (Phase-Locked Loops) που παρέχουν δυνατότητες διαχείρισης των παλμών ρολογιού όπως στροφή φάσης, χρονισμός διαφορικών ψηφιακών σημάτων εξόδου κλπ. Η γενική αρχιτεκτονική της Cyclone II φαίνεται στο Σχήμα 2; Σχήμα 2: Αρχιτεκτονική Cyclone IIEP2C20 FPGA

28 Κάθε LAB περιλαμβάνει 16 Λογικά Στοιχεία (Logic Elements -LEs). To LE είναι μια μικρή μονάδα λογικής που επιτρέπει την αποδοτική υλοποίηση στοιχειωδών λογικών συναρτήσεων. Υπάρχουν από έως 68,416 LE σε ένα chip Cyclone Π. Οι μονάδες μνήμης Μ4Κ έχουν χωρητικότητα 4Κ η κάθε μια και δύο θύρες Εγγραφής/Ανάγνωσης. Μπορούν να διαμορφωθούν από το σχεδιαστή σαν μνήμες μιας ή δύο θυρών με μήκος λέξης έως 36-bits σε συχνότητα λειτουρηάας έως 260 ΜΗζ. Η συνολική διαθέσιμη μνήμη σε ένα chip Cyclone II κυμαίνεται από 119Kbits έως Mbits. Σε κάθε ενσωματωμένη μονάδα πολλαπλασιασμού μπορούν να υλοποιηθούν είτε 2 κυκλώματα πολλαπλασιασμού 9 χ 9-bit είτε ένα κύκλωμα 18 χ 18-bit με συχνότητα λειτουργίας έως 250ΜΗζ. Κάθε ακίδα εισόδου-εξόδου (I/O pin) της Cyclone Π συνδέεται μέσω του υποσυστήματος ΙΟΕ που βρίσκεται στα άκρα των συστοιχιών LAB περιμετρικά του chip. Υποστηρίζονται διάφορα πρότυπα I/O μονής(είη 1ο-οηάεά signaling) ή διαφορικής σηματοδοσίας (differential signaling)όπως τα 66- και 33-ΜΗζ, 64- και 32-bit PCI, PCI-X, και LVDS I/O με μέγιστο ρυθμό μετάδοσης δεδομένων 805Mbps για τις εισόδους και 640Mbps για τις εξόδους. Τέλος είναι δυνατή η διασύνδεση με εξωτερικές μνήμες όπως DDR, DDR2, SDR, SDRAM και QDRII SRAM με συχνότητα έως 167 ΜΗζ. Τα παραπάνω χαρακτηριστικά συνοψίζονται στον παρακάτω πίνακα: Table 1. Περίληψη Χαρακτηριστικών Cyclone IIFPGA ΑοΥΐτεκτονική Έως 68,416 λογικά στοιχεία (Les) για την υλοποίηση σύνθετων ψηφιακών συστημάτων. Τεγνολογία Κατασκευής Διεργασία 90-nm, χαμηλής διηλεκτρικής k. Ενσωματωμένη Μνήμη Ενσωαατωαένεο Μονάδες Πολλαπλασιασαού Διεπαωές Ε6ωτεοικής Μνήαης Υποστήοιεη Διαωοοικής Σηαατοδοσίας Υποστήριίη Έως 1.1 Mbits ενσωματωμένης μνήμης οργανωμένη σε blocks 4Κ, που μπορεί να διαμορφωθεί σαν RAM, ROM, FIFO buffer, μονής και διπλής θύρας. Έως χ 18 μονάδες πολλαπλασιασμού (multipliers) για τον υπολογισμό κοινών συναρτήσεων DSP όπως φίλτρα FIR, FFT, συσχέτιση σημάτων, κωδικοποιητές/αποκωδικοποιητές και αριθμητικά ελεγχόμενοι ταλαντωτές (NCOs). Δυνατότητα συνεργασίας με εξωτερική μνήμη SDR, DDR, DDR2 SDRAM και QDRII SRAM σε ταχύτητες έως 668 Mbps. Υποστήριξη προτύπων διαφορικής σηματοδοσίας LVDS, RSDS, mini-lvds, LVPECL, SSTL, και HSTL I/O. Το πρότυπο LVDS υποστηρίζεται για μετάδοση δεδομένων έως 805Mbps στην πλευρά του δέκτη και έως 622 Mbps στην πλευρά του πομπού.. Υποστήριξη προτύπων σηματοδοσίας μονής γραμμής όπως

29 Στιαατοδοσίας Movhc Γραμμής Διεπαφέε και Ποωτόκολλα Ετηκοινωνίας Σύσττιαα Διαγείοισης Παλαών Ρολογιού Ενσωαατωιιένοο Επεξεργαστής Nios II LVTTL, LVCMOS, SSTL, HSTL, PCI, και PCI-X. Ethernet, PCI Express με εξωτερικό PHY κα. Έως 4 προγραμματιζόμενα PEL και έως 16 γραμμές ρολογιού. Τα PEL προσφέρουν δυνατότητες σύνθεσης συχνοτήτων, προγραμματιζόμενης στροφής φάσης, εξόδου ρολογιού, προγραμματιζόμενου κύκλου ρολογιού (duty cycle), ανίχνευση κλειδώματος κα. 0 ενσωματωμένος επεξεργαστής Nios Π αποτελεί μια εναλλακτική επιλογή σε σχέση με διακριτούς μικροεπεξεργαστές. Τεοαατισαός On-Chio 0 Τερματισμός On-Chip παρέχει προσαρμογή αντίστασης φορτίου χωρίς να χρειάζονται εξωτερικές αντιστάσεις, βελτιώνει την ποιότητα των σημάτων και απλοποιεί την ολοκλήρωση του chip σε πλακέτα. Γοήγοοη Εκκίνηση (Fast Η δυνατότητα γρήγορης εκκίνησης (fast on) επιτρέπει στο On ) chip να λειτουργεί σε ελάχιστο χρόνο από την έναρξη τροφοδοσίας ισχύος, καθιστώντας το κατάλληλο για τοποθέτηση σε αυτοκίνητα και άλλες εφαρμογές που απαιτούν ελάχιστο χρόνο ενεργοποίησης. 3.2 ΛΟΓΙΚΑ ΣΤΟΙΧΕΙΑ (LOGIC ELEMENTS -LE) Δομή και Δυνατότητες Διαμόρφωσης LE Η μικρότερη μονάδα προγραμμαηζόμενης λογικής στην αρχιτεκτονική Cyclone II είναι το Λογικό Στοιχείο (LE), η δομή του οποίου φαίνεται στο Σχήμα 3. Κάθε LE περιλαμβάνει: Ένα πίνακα τεσσάρων εισόδων (LUT), με τον οποίο μπορεί να υλοποιηθεί οποιαδήποτε λογική συνάρτηση έως τεσσάρων μεταβλητών. Έναν προγραμματιζόμενο Flip Flop (Programmable Register). Διασύνδεση σε αλυσίδα μεταφοράς κρατούμενου πρόσθεσης (carry chain connection) Διασύνδεση σε αλυσίδα καταχωρητή (register chain connection) Διασύνδεση με άλλο FF σε διαφορετική συστοιχία LAB Ανατροφοδότηση Καταχωρητή (Register Feedback).

30 Σχήμα 3: Δομή Λογικού Στοιχείου LE Το προγραμματιζόμενο Flip Flop του LE μπορεί να διαμορφωθεί σαν τύπου D, Τ, JK, ή SR. Το Flip Flop έχει επάσης εισόδους δεδομένων (data), ρολογιού (clock), επίτρεψης ρολογιού (clock enable), και καθαρισμού δεδομένων (clear). Σε περίπτωση που θέλουμε να υλοποιήσουμε συνδυαστική λογική η έξοδος του πίνακα LUT παρακάμπτει το Flip Flop και οδηγείται κατευθείαν στην έξοδο του LE. Το LE διαθέτει τρεις εξόδους προς το κύκλωμα τοπικής (local) δρομολόγησης, δρομολόγησης γραμμής (row routing), και δρομολόγησης στήλης (column routing). Οι έξοδοι αυτές μπορούν να οδηγηθούν ανεξάρτητα είτε από το LUT είτε από το Flip Flop. Αυτό το χαρακτηριστικό (register packing), βελτιώνει την αξιοποίηση του chip καθώς μπορεί να χρησιμοποιηθούν ταυτόχρονα και ο LUT και το Flip Flop για ανεξάρτητες λειτουργίες. Επιπρόσθετα στις τρεις παραπάνω εξόδου δρομολόγησης, το LE διαθέτει και εξόδους διασύνδεσης του Flip Flop (Register Chain Outputs) oi οποίες ετητρέπουν τη διασύνδεση σε σειρά (cascade) των Flip Flops που βρίσκονται στην ίδια Λογική Συστοιχία ( LAB). Η έξοδος register chain output ετητρέπει σε μια LAB να χρησιμοποιήσει τους LUT για την υλοποίηση μιας συνδυαστικής λογικής συνάρτησης και τα Flip Flop για την υλοποίηση ενός καταχωρητή ολίσθησης (shift register). Οι δυνατότητες αυτές επιταχύνουν τις διασυνδέσεις μεταξύ των LAB ενώ εξοικονομούν τοπικούς πόρους δρομολόγησης.

31 3.2.2 Τρόποι Λειτουργίας (Modes) LE To LE της Cyclone II μπορεί να λειτουργήσει με δύο τρόπους: - Κανονική (Normal mode) - Αριθμητική (Arithmetic mode) Κάθε τρόπος χρησιμοποιεί τους πόρους του LE διαφορετικά. Σε κάθε τρόπο, οι έξι διαθέσιμες είσοδοι του LE - δηλαδή οι τέσσερις είσοδοι δεδομένων από την τοπική διασύνδεση του LAB, η είσοδος κρατούμενου πρόσθεσης (carry-in) από το προηγούμενο LAB στην αλυσίδα μεταφοράς κρατούμενου πρόσθεσης (carry chain connection), και η είσοδος αλυσίδας καταχωρητή (register chain connection) - κατευθύνονται προς διαφορετικούς προορισμούς ώστε να υλοποιηθεί η ετηθυμητή λογική συνάρτηση. Σήματα που διατρέχουν την LAB παρέχουν παλμούς ρολογιού, ασύγχρονο καθαρισμό δεδομένων (clear), σύγχρονο clear, σύγχρονο σήμα φόρτωσης δεδομένων (load), και επίτρεψη ρολογιού (clock enable control) προς το Flip Hop. To λογισμικό Quartus II σχεδίασης και προγραμματισμού της Cyclone Π χρησιμοποιώντας κατάλληλες βιβλιοθήκες παραμετροποιημένων συναρτήσεων (LPM), επιλέγει αυτόματα τον κατάλληλο τρόπο λειτουργίας για κοινές λειτουργίες όπως μετρητές, αθροιστές και άλλα κυκλώματα αριθμητικών πράξεων Κανονικός Τρόπος Λειτουργίας (Normal Mode) Ο κανονικός τρόπος λειτουργίας είναι κατάλληλος για γενικές εφαρμογές λογικής και συνδυαστικά κυκλώματα. Όπως φαίνεται στο Σχήμα 4, στον κανονικό τρόπο λειτουργίας τα τέσσερα δεδομένα εισόδου datal έως data4 από την τοπική διασύνδεση LAB οδηγούνται στο LUT. Ο μεταγλωττιστής του Quartus II επιλέγει μεταξύ των σημάτων εισερχόμενου κρατούμενου πρόσθεσης (carry-in) και datas σαν είσοδο του LLFT. Το LE σον κανονικό τρόπο λειτουργίας υποστηρίζει ανατροφοδότηση καταχωρητή (register feedback) ΑριθμΓίτικός Τρόπος Λειτουργίας (Arithmetic Mode) Ο αριθμητικός τρόπος λειτουργίας είναι κατάλληλος για υλοποίηση ακολουθιακών κυκλωμάτων όπως αθροιστές, μετρητές, συσσωρευτές, συγκριτές κλπ. Όπως φαίνεται στο Σχήμα 5, στον αριθμητικό τρόπο λειτουργίας ένα LE υλοποιεί έναν αθροιστή 2 bits και αλυσίδα μετάδοσης κρατούμενου (basic carry chain). Επίσης το LE μπορεί να δώσει στην έξοδο καταχωρημένη (registered) και μη καταχωρημένη έκδοση του περιεχομένου του LUT.

32 To λογισμικό Quartos Π δημιουργεί αυτόματα αλυσίδες κρατούμενου πρόσθεσης (carry chain) κατά την επεξεργασία της σχεδίασης ή εναλλακτικά μπορεί να τις δημιουργήσει ο σχεδιαστής κατά την εισαγωγή της σχεδίασης. Παραμετροποιημένες συναρτήσεις (LPM), αξιοποιούν αυτόματα αλυσίδες carry chain για την υλοποίηση αντίστοιχων συναρτήσεων. Σχήμα 5: Arithmetic Mode LE

33 3.3 ΛΟΓΙΚΕΣ ΣΥΣΤΟΙΧΙΕΣ (Logic Array Blocks -LABs) Δομή LAB Κάθε Λογική Συστοιχία (LAB) τιεριλαμβάνει: Λογικά Στοιχεία LE 8. Σήματα Ελέγχου (LAB control signals) 9. Αλυσίδες κρατούμενου πρόσθεσης (LE carry chains) 10. Αλυσίδες Flip flop (Register chains) 11. Λογική Τοτηκής Διασύνδεσης (Local interconnect) Σχήμα 5: Δομή LAB Cyclone II Η Λογική Τοπικής Διασύνδεσης μεταφέρει σήματα μεταξύ των LE μέσα στην ίδια LAB. Οι αλυσίδες των Flip Flop μεταφέρουν την έξοδο ενός LE στο γειτονικό LE. Ο μεταγλωττιστής του Quartus Π τοποθετεί κυκλώματα συσχετιζόμενης λογικής μέσα στο ίδιο ή γειτονικά LAB, αξιοποιώντας τους πόρους τοπικής διασύνδεσης για την επίτευξη της μέγιστης ταχύτητας με χρήση της ελάχιστης δυνατής επιφάνειας στο κύκλωμα Διασυνδέσεις μεταξύ των LAB Η Λογική Τοπικής Διασύνδεσης των LAB μπορεί να διασυνδέσει LE μέσα στην ίδια LAB χρησιμοποιώντας συνδέσμους γραμμών και στηλών. Γειτονικά LAB, PLL, μονάδες Μ4Κ RAM και ενσωματωμένα κυκλώματα πολλαπλασιασμού που βρίσκονται στην αριστερή και δεξιά πλευρά του chip μπορούν επίσης να διασυνδεθούν.

34 Σχήμα 6: Τοπική Διασύνδεση LAB Cyclone II Διασυνδέσεις LAB κατά Γραμμές (Row Interconnects) Η Λογική Διασύνδεσης των LAB κατά γραμμές μπορεί να δρομολογήσει σήματα από και προς LAB, PLL, μονάδες Μ4Κ RAM και εισομαπομέια κυκλώματα πολλαπλασιασμού που βρίσκονται στην ίδια γραμμή του chip. Σχήμα 7; Διασύνδεση LAB κατά γραμμές Διασυνδέσεις LAB κατά Στήλες (Column Interconnects) Η Λογική Διασύνδεσης των LAB κατά στήλες λειτουργεί με παρόμοιο τρόπο με τη λογική διασύνδεσης κατά γραμμές. Κάθε στήλη LAB μπορεί να δρομολογήσει

35 σήματα κάθετα από και προς LAB, PLL, μονάδες Μ4Κ RAM, ενσωματωμένα κυκλώματα πολλαπλασιασμού και blocks εισόδου/εξόδου (ΙΟΕ).. Με τη Αογική Διασύνδεσης κατά στήλες μπορούν να υλοποιηθούν; Αλυσίδες Flip Flop (Register chain interconnects) μέσα στην ίδια LAB Διασυνδέσεις τύπου C4 που διατρέχουν τέσσερα blocks προς τα πάνω ή προς τα κάτω και Διασυνδέσεις τύπου C16 για κάθετη δρομολόγηση σημάτων υψηλής ταχύτητας μέσα στη Cyclone IIFPGA. Οι παραπάνω δυνατότητες διασύνδεσης κατά στήλες φαίνονται στα σχήματα που ακολουθούν. Σχήμα 8: Διασύνδεση Αλυσίδων Flip Flop στην ίδια LAB

36 3.4 Μονάδες Εισόδου/Εξόδου (ΙΟΕ) Οι Μονάδες Εισόδου/Εξόδου (ΙΟΕ) των Cyclone II περιέχουν ένα buffer I/O δύο κατευθύνσεων και τρεις καταχωρητές για αμφίδρομη μετάδοση σημάτων. Κάθε ΙΟΕ περιέχει ένα καταχωρητή εισόδου, ένα καταχωρητή εξόδου και ένα καταχωρητή επίτρεψης εξόδου. Κάθε μονάδα ΙΟΕ μπορεί να διαμορφωθεί σαν είσοδος, έξοδος ή αμφίδρομη θύρα του ψηφιακού συστήματος που υλοποιείται στην FPGA.

37 Σχήμα 10: Δομή Μονάδας ΙΟΕ

38 4. ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL 4.1 ΜΕΘΟΔΟΛΟΓΙΑ Στα πλαίσια της εργασίας υλοποιούνται δύο πλήρη ψηφιακά συστήματα, ένας ψηφιακός ελεγκτής φωτεινών σηματοδοτών και ένα σύστημα συναγερμού ασφαλείας. Η μεθοδολογία που ακολουθείται για την υλοποίηση των συστημάτων είναι η παρακάτω: Κατανοούνται πλήρως οι λειτουργικές προδιαγραφές των συστημάτων όπως αυτές περιγράφονται στο σχετικό βιβλίο. Το κάθε σύστημα υποδιαιρείται σε ένα μικρό σχετικά αριθμό υποσυστημάτων τα οποία διασυνδέονται μεταξύ τους σύμφωνα με την περιγραφή. Κάποια από τα υποσυστήματα δέχονται εξωτερικά σήματα εισόδου (πχ. Αισθητήρας παρουσίας αυτοκινήτων στο Ιο σύστημα) και κάποια δίνουν σήματα εξόδου (πχ. Φωτεινή Ένδειξη οπλισμένου συναγερμού στο 2ο σύστημα). Η διαίρεση αυτή σε υποσυστήματα γίνεται με βάση τη διάρθρωση των λειτουργικών προδιαγραφών. Το κάθε υποσύστημα περιγράφεται σε γλώσσα VHDL ακολουθώντας το επίπεδο περιγραφής των προδιαγραφών του βιβλίου. Σε περιπτώσεις που το υποσύστημα είναι σχετικά απλό και περιγράφεται στο βιβλίο με ένα απλό διάγραμμα λογικών πυλών όπως π.χ. ο Αποκωδικοποιητής Καταστάσεων του 1ου συστήματος, μεταφράζεται απευθείας σε κώδικα VHDL με αρχιτεκτονική γενικής περιγραφής. Σε περιπτώσεις πιο σύνθετων (υπο)συστημάτων όπως πχ. η Αογική Μνήμης του 2ου συστήματος, το υποσύστημα υποδιαιρείται σε ακόμη μικρότερα υποσυστήματα 2ου επιπέδου ιεραρχίας χρησιμοποιώντας κώδικα VHDL με αρχιτεκτονική δομικής περιγραφής και το κάθε υποσύστημα αναπτύσσεται ξεχωριστά χρησιμοποιώντας ένα ξεχωριστό αρχείο VHDL ανά υποσύστημα. Για την περιγραφή σε VHDL υποσυστημάτων τυποποιημένων λειτουργιών όπως πχ. Μετρητές, Καταχωρητές κλπ. χρησιμοποιούμε πρότυπο κώδικα VHDL από τη βιβλιογραφία προσαρμόζοντάς τον σης συγκεκριμένες προδιαγραφές που μας δόθηκαν. Για την περιγραφή Μηχανών Διακριτών Καταστάσεων (Finite State Machines - FSM) οι λειτουργικές προδιαγραφές μεταφράζονται σε αντίστοιχο Διάγραμμα Μετάβασης Καταστάσεων (State Transition Diagram), επιλέγεται κατάλληλη κωδικοποίηση των Διακριτών Καταστάσεων και υλοποιούνται η Αογική Επόμενης Κατάστασης (συνδυαστικό κύκλωμα), ο Καταχωρητής Κατάστασης (Μνήμη) και η Αογική Σημάτων Εξόδου τύπου Moore σε ένα ενιαίο αρχείο VHDL.

ΑΠΟΦΑΣΗ Ο ΥΠΟΥΡΓΟΣ ΕΣΩΤΕΡΙΚΩΝ & ΔΙΟΙΚΗΤΙΚΗΣ ΑΝΑΣΥΓΚΡΟΤΗΣΗΣ. της Αυτοδιοίκησης και της Αποκεντρωμένης Διοίκησης-Πρόγραμμα Καλλικράτης».

ΑΠΟΦΑΣΗ Ο ΥΠΟΥΡΓΟΣ ΕΣΩΤΕΡΙΚΩΝ & ΔΙΟΙΚΗΤΙΚΗΣ ΑΝΑΣΥΓΚΡΟΤΗΣΗΣ. της Αυτοδιοίκησης και της Αποκεντρωμένης Διοίκησης-Πρόγραμμα Καλλικράτης». ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Αθήνα 22 Ιανουαρίου 2016 ΥΠΟΥΡΓΕΙΟ ΕΣΩΤΕΡΙΚΩΝ ΚΑΙ ΔΙΟΙΚΗΤΙΚΗΣ ΑΝΑΣΥΓΚΡΟΤΗΣΗΣ Αριθμ. πρωτ. 1914 ΓΕΝ. Δ/ΝΣΗ ΟΙΚΟΝΟΜΙΚΩΝ ΥΠΗΡΕΣΙΩΝ ΚΑΙ ΔΙΟΙΚΗΤΙΚΗΣ ΥΠΟΣΤΗΡΙΞΗΣ Δ/ΝΣΗ ΟΙΚΟΝ. & ΑΝΑΠΤ/ΚΗΣ

Διαβάστε περισσότερα

ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΠΕΡΙΠΤΩΣΗΣ» ΚΑΤΣΙΑΔΑΣ ΜΑΡΙΟΣ

ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΠΕΡΙΠΤΩΣΗΣ» ΚΑΤΣΙΑΔΑΣ ΜΑΡΙΟΣ ^ΟΛΟΓ/ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΊΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗΣ ΕΛΕΓΚΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΘΕΜΑ «ΕΠΙΧΕΙΡΗΜΑΤΙΚΟ ΣΧΕΔΙΟ, ΜΕΛΕΤΗ ΠΕΡΙΠΤΩΣΗΣ» ΚΑΤΣΙΑΔΑΣ ΜΑΡΙΟΣ ΕΠΙΒΛΕΠΩΝ

Διαβάστε περισσότερα

ΥΠΟΔΕΙΓΜΑΤΑ ΨΗΦΟΔΕΛΤΙΩΝ ΠΕΡΙΦΕΡΕΙΑΚΩΝ ΕΚΛΟΓΩΝ

ΥΠΟΔΕΙΓΜΑΤΑ ΨΗΦΟΔΕΛΤΙΩΝ ΠΕΡΙΦΕΡΕΙΑΚΩΝ ΕΚΛΟΓΩΝ ΥΠΟΔΕΙΓΜΑΤΑ ΨΗΦΟΔΕΛΤΙΩΝ ΠΕΡΙΦΕΡΕΙΑΚΩΝ ΕΚΛΟΓΩΝ ΣΗΜΕΙΩΣΗ Τα Υποδείγματα των ψηφοδελτίων που ακολουθούν αναγράφηκαν προς διευκόλυνση των συνδυασμών. Οποιαδήποτε διαφοροποίηση δεν αποτελεί λόγο ακυρότητας

Διαβάστε περισσότερα

ΑΝΑΚΟΙΝΩΣΗ ΥΠ ΑΡΙΘΜ. ΣΟΧ 3/2015. Για την πρόσληψη Πτυχιούχων Φυσικής Αγωγής. με σύμβαση εργασίας Ιδιωτικού Δικαίου Ορισμένου Χρόνου (Ι.Δ.Ο.Χ.

ΑΝΑΚΟΙΝΩΣΗ ΥΠ ΑΡΙΘΜ. ΣΟΧ 3/2015. Για την πρόσληψη Πτυχιούχων Φυσικής Αγωγής. με σύμβαση εργασίας Ιδιωτικού Δικαίου Ορισμένου Χρόνου (Ι.Δ.Ο.Χ. Μέγαρα 1 Δεκεμβρίου 2015 Αρ. Πρωτ: 3287 ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΑΤΤΙΚΗΣ ΔΗΜΟΣ ΜΕΓΑΡΕΩΝ Ν.Π.Δ.Δ. ΚΟΙΝΩΝΙΚΗΣ ΑΛΛΗΛΕΓΓΥΗΣ & ΑΘΛΗΤΙΣΜΟΥ «ΗΡΟΔΩΡΟΣ» : 28 ης Οκτωβρίου 62 Τ.Κ. 19100 - Μέγαρα : 2296022161 :

Διαβάστε περισσότερα

Το σχέδιο έχει ως βάση ένα ενιαίο σύστημα κλειστών αγωγών το οποίο εκτείνεται

Το σχέδιο έχει ως βάση ένα ενιαίο σύστημα κλειστών αγωγών το οποίο εκτείνεται Να θυμόμαστε ότι ο νόμος Ν 3199/2003 για την προστασία και διαχείριση υδάτων ψ ηφίστηκε από την Ελλάδα ώστε να εναρμονισθεί με την οδηγία πλαίσιο 2000/60/ΕΚ του Ευρωπαϊκού Κοινοβουλίου «Διαχείριση και

Διαβάστε περισσότερα

Το ολοκαύτωμα της Κάσου

Το ολοκαύτωμα της Κάσου Το ολοκαύτωμα της Κάσου Το βρίκιον Άρης, 1881 Κολοβός Γεώργιος Ερευνητής Συγγραφέας Πτυχιούχος Διοίκησης Ναυτιλιακών και Μεταφορικών Επιχειρήσεων Ανώτατου Τεχνολογικού Εκπαιδευτικού Ιδρύματος Πειραιά Εισαγωγή

Διαβάστε περισσότερα

ΠΡΟΟΙΜΙΟ... 4-5 1.ΑΝΑΤΡΟΠΗ ΤΟΥ ΠΟΛΙΤΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΚΑΙ ΤΩΝ ΚΑΤΕΣΤΗΜΕΝΩΝ... 6-20

ΠΡΟΟΙΜΙΟ... 4-5 1.ΑΝΑΤΡΟΠΗ ΤΟΥ ΠΟΛΙΤΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΚΑΙ ΤΩΝ ΚΑΤΕΣΤΗΜΕΝΩΝ... 6-20 Πίνακας περιεχομένων ΠΡΟΟΙΜΙΟ... 4-5 1.ΑΝΑΤΡΟΠΗ ΤΟΥ ΠΟΛΙΤΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΚΑΙ ΤΩΝ ΚΑΤΕΣΤΗΜΕΝΩΝ... 6-20 1.1 Αλλαγή του πολιτικού συστήματος... 6-9 1.1.1 Εξυγίανση του πολιτικού συστήματος. Διαφάνεια παντού...

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΥΠΟΥΡΓΕΙΟ ΠΑΡΑΓΩΓΙΚΗΣ ΑΝΑΣΥΓΚΡΟΤΗΣΗΣ, ΠΕΡΙΒΑΛΛΟΝΤΟΣ & ΕΝΕΡΓΕΙΑΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΥΠΟΥΡΓΕΙΟ ΠΑΡΑΓΩΓΙΚΗΣ ΑΝΑΣΥΓΚΡΟΤΗΣΗΣ, ΠΕΡΙΒΑΛΛΟΝΤΟΣ & ΕΝΕΡΓΕΙΑΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΥΠΟΥΡΓΕΙΟ ΠΑΡΑΓΩΓΙΚΗΣ ΑΝΑΣΥΓΚΡΟΤΗΣΗΣ, ΠΕΡΙΒΑΛΛΟΝΤΟΣ & ΕΝΕΡΓΕΙΑΣ ΓΡΑΦΕΙΟ ΓΕΝΙΚΗΣ ΓΡΑΜΜΑΤΕΩΣ ΧΩΡΙΚΟΥ ΣΧΕΔΙΑΣΜΟΥ & ΑΣΤΙΚΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ Αθήνα, 27/07/2015 Α.Π.: οικ. 1329 ΠΡΟΣ : (Ως Πίνακα

Διαβάστε περισσότερα

ΠΡΑΚΤΙΚΑ ΣΥΝΕΔΡΙΑΣΗΣ ΔΙΟΙΚΗΤΙΚΟΥ ΣΥΜΒΟΥΛΙΟΥ. υπ αριθμόν 2

ΠΡΑΚΤΙΚΑ ΣΥΝΕΔΡΙΑΣΗΣ ΔΙΟΙΚΗΤΙΚΟΥ ΣΥΜΒΟΥΛΙΟΥ. υπ αριθμόν 2 ΠΡΑΚΤΙΚΑ ΣΥΝΕΔΡΙΑΣΗΣ ΔΙΟΙΚΗΤΙΚΟΥ ΣΥΜΒΟΥΛΙΟΥ υπ αριθμόν 2 Πρακτικά συνεδρίασης Διοικητικού Συμβουλίου του σωματείου, που εδρεύει στην Κόρινθο, με την επωνυμία «Σύλλογος Επιστημόνων Κοινωνικής Πολιτικής»

Διαβάστε περισσότερα

ΒΑΣΙΚΗ ΕΚΠΑΙΔΕΥΣΗ. Οδηγός Οργάνωσης και Λειτουργίας ΕΚΔΟΣΗ 1.0

ΒΑΣΙΚΗ ΕΚΠΑΙΔΕΥΣΗ. Οδηγός Οργάνωσης και Λειτουργίας ΕΚΔΟΣΗ 1.0 ΒΑΣΙΚΗ ΕΚΠΑΙΔΕΥΣΗ Οδηγός Οργάνωσης και Λειτουργίας ΕΚΔΟΣΗ 1.0 ΑΠΡΙΛΙΟΣ 2014 ΒΑΣΙΚΗ ΕΚΠΑΙΔΕΥΣΗ Οδηγός Οργάνωσης και Λειτουργίας Περιεχόμενα Εισαγωγή Σκοπός Απαιτούμενες Γνώσεις Μορφή της Εκπαίδευσης Σχολή

Διαβάστε περισσότερα

Πτυχιακή Εργασία. Σχεδίαση Εφαρμογών Ψηφιακών Συστημάτων Με Τη Γλώσσα VHDL

Πτυχιακή Εργασία. Σχεδίαση Εφαρμογών Ψηφιακών Συστημάτων Με Τη Γλώσσα VHDL ΤΕΙ ΚΑΒΑΛΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΒΙΟΜΗΧΑΝΙΚΗΣ ΠΛΗΡΟΦΟΡΙΚΉΣ Πτυχιακή Εργασία Σχεδίαση Εφαρμογών Ψηφιακών Συστημάτων Με Τη Γλώσσα VHDL Αναστασόπουλος Νεκτάριος Δαρλαγιάννη Ιφιγένεια Πετρίδη

Διαβάστε περισσότερα

ΑΝΑΚΟΙΝΩΣΗ ΠΡΟΣΛΗΨΗΣ για τη σύναψη ΣΥΜΒΑΣΗΣ ΕΡΓΑΣΙΑΣ ΟΡΙΣΜΕΝΟΥ ΧΡΟΝΟΥ

ΑΝΑΚΟΙΝΩΣΗ ΠΡΟΣΛΗΨΗΣ για τη σύναψη ΣΥΜΒΑΣΗΣ ΕΡΓΑΣΙΑΣ ΟΡΙΣΜΕΝΟΥ ΧΡΟΝΟΥ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Π.Ε ΑΙΤΩΛ/ΝΙΑΣ ΔΗΜΟΣ ΝΑΥΠΑΚΤΙΑΣ ----------- ΑΔΑ: 7ΛΞ5ΩΚΓ-Θ96 Ναύπακτος 25/11/2015 Αριθμ.πρωτ: 33115 ΑΝΑΚΟΙΝΩΣΗ ΠΡΟΣΛΗΨΗΣ για τη σύναψη ΣΥΜΒΑΣΗΣ ΕΡΓΑΣΙΑΣ ΟΡΙΣΜΕΝΟΥ ΧΡΟΝΟΥ O Δήμαρχος

Διαβάστε περισσότερα

Η ΕΠΑΓΓΕΛΜΑΤΙΚΗ & ΕΠΙΧΕΙΡΗΜΑΤΙΚΗ ΔΡΑΣΤΗΡΙΟΠΟΙΗΣΗ ΤΩΝ ΕΛΛΗΝΩΝ ΤΗΣ ΔΙΑΣΠΟΡΑΣ ΜΕΤΑ ΤΟΝ Β ΠΑΓΚΟΣΜΙΟ ΠΟΛΕΜΟ ΚΑΙ Η ΕΠΙΔΡΑΣΗ ΤΗΣ ΣΤΟ ΕΘΝΙΚΟ ΚΕΝΤΡΟ

Η ΕΠΑΓΓΕΛΜΑΤΙΚΗ & ΕΠΙΧΕΙΡΗΜΑΤΙΚΗ ΔΡΑΣΤΗΡΙΟΠΟΙΗΣΗ ΤΩΝ ΕΛΛΗΝΩΝ ΤΗΣ ΔΙΑΣΠΟΡΑΣ ΜΕΤΑ ΤΟΝ Β ΠΑΓΚΟΣΜΙΟ ΠΟΛΕΜΟ ΚΑΙ Η ΕΠΙΔΡΑΣΗ ΤΗΣ ΣΤΟ ΕΘΝΙΚΟ ΚΕΝΤΡΟ ΤΕΧΝΟΛΟΓΙΚΌ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΒΑΛΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΔΙΟΙΚΗΣΗ ΕΠΙΧΕΙΡΗΣΕΩΝ Η ΕΠΑΓΓΕΛΜΑΤΙΚΗ & ΕΠΙΧΕΙΡΗΜΑΤΙΚΗ ΔΡΑΣΤΗΡΙΟΠΟΙΗΣΗ ΤΩΝ ΕΛΛΗΝΩΝ ΤΗΣ ΔΙΑΣΠΟΡΑΣ ΜΕΤΑ ΤΟΝ Β ΠΑΓΚΟΣΜΙΟ ΠΟΛΕΜΟ

Διαβάστε περισσότερα

ΙΣΤΟΡΙΑ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Γ ΛΥΚΕΙΟΥ ΟΜΑΔΑ Α

ΙΣΤΟΡΙΑ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Γ ΛΥΚΕΙΟΥ ΟΜΑΔΑ Α ΙΣΤΟΡΙΑ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Γ ΛΥΚΕΙΟΥ ΟΜΑΔΑ Α ΘΕΜΑ Α1 α. Να περιγράψετε το πρόγραμμα του καθενός από τα παρακάτω πολιτικά κόμματα: Ραλλικό Κόμμα Λαϊκό Κόμμα (1910) Σοσιαλιστικό Εργατικό Κόμμα Ελλάδας

Διαβάστε περισσότερα

Ενημερωτικό σημείωμα. 1029 Νέα Έργα ΕΣΠΑ

Ενημερωτικό σημείωμα. 1029 Νέα Έργα ΕΣΠΑ Ενημερωτικό σημείωμα 1029 Νέα Έργα ΕΣΠΑ Στον τομέα του περιβάλλοντος εντάχθηκαν στο Επιχειρησιακό Πρόγραμμα Περιβάλλον Αειφόρος Ανάπτυξη 90 έργα συνολικού π/υ 542,8 εκ (συγχρηματοδοτούμενη ΔΔ 505,3 εκ

Διαβάστε περισσότερα

ΑΠΟΣΠΑΣΜΑ Από το υπ' αριθμ. 06/17-03-2014 Πρακτικό της Οικονομικής Επιτροπής Ιονίων Νήσων

ΑΠΟΣΠΑΣΜΑ Από το υπ' αριθμ. 06/17-03-2014 Πρακτικό της Οικονομικής Επιτροπής Ιονίων Νήσων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΙΟΝΙΩΝ ΝΗΣΩΝ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΑΠΟΣΠΑΣΜΑ Από το υπ' αριθμ. 06/17-03-2014 Πρακτικό της Οικονομικής Επιτροπής Ιονίων Νήσων Αριθμ. απόφασης 161-06/17-03-2014 ΠΕΡΙΛΗΨΗ: Έγκριση

Διαβάστε περισσότερα

ΣΥΓΚΡΙΤΙΚΗ ΜΕΛΕΤΗ ΚΑΙ ΑΝΑΛΥΣΗ ΤΡΟΠΑΡΙΩΝ ΤΗΣ ΚΕΡΚΥΡΑΪΚΗΣ ΚΑΙ ΤΗΣ ΒΥΖΑΝΤΙΝΗΣ ΨΑΛΤΙΚΗΣ 1

ΣΥΓΚΡΙΤΙΚΗ ΜΕΛΕΤΗ ΚΑΙ ΑΝΑΛΥΣΗ ΤΡΟΠΑΡΙΩΝ ΤΗΣ ΚΕΡΚΥΡΑΪΚΗΣ ΚΑΙ ΤΗΣ ΒΥΖΑΝΤΙΝΗΣ ΨΑΛΤΙΚΗΣ 1 ΣΥΓΚΡΙΤΙΚΗ ΜΕΛΕΤΗ ΚΑΙ ΑΝΑΛΥΣΗ ΤΡΟΠΑΡΙΩΝ ΤΗΣ ΚΕΡΚΥΡΑΪΚΗΣ ΚΑΙ ΤΗΣ ΒΥΖΑΝΤΙΝΗΣ ΨΑΛΤΙΚΗΣ 1 Σταματοπούλου Νικολίνα Ωδείο Σύγχρονης Τέχνης Αγρινίου, nistamato@yahoo.gr Περίληψη Για το παρόν άρθρο επιλέχθηκαν

Διαβάστε περισσότερα

ΠΑΡΟΥΣΙΑΣΗ ΤΩΝ ΓΕΝΙΚΩΝ ΚΑΙ ΕΙΔΙΚΩΝ ΟΡΩΝ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ «ΑΣΦΑΛΩΣ ΚΑΤΟΙΚΕΙΝ» ΚΟΙΝΟΧΡΗΣΤΟΙ ΧΩΡΟΙ

ΠΑΡΟΥΣΙΑΣΗ ΤΩΝ ΓΕΝΙΚΩΝ ΚΑΙ ΕΙΔΙΚΩΝ ΟΡΩΝ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ «ΑΣΦΑΛΩΣ ΚΑΤΟΙΚΕΙΝ» ΚΟΙΝΟΧΡΗΣΤΟΙ ΧΩΡΟΙ ΠΑΡΟΥΣΙΑΣΗ ΤΩΝ ΓΕΝΙΚΩΝ ΚΑΙ ΕΙΔΙΚΩΝ ΟΡΩΝ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ «ΑΣΦΑΛΩΣ ΚΑΤΟΙΚΕΙΝ» ΚΟΙΝΟΧΡΗΣΤΟΙ ΧΩΡΟΙ ΓΕΝΙΚΟΙ ΟΡΟΙ ΑΡΘΡΟ 1. ΟΡΙΣΜΟΙ Αξία καινούργιου: Είναι το ποσό που απαιτείται για την ανακατασκευή του κτιρίου

Διαβάστε περισσότερα

Διπλωματική Εργασία. Έρευνα:

Διπλωματική Εργασία. Έρευνα: Διπλωματική Εργασία Έρευνα: Συστήματα επιλογής προσωπικού σε εταιρείες τεχνολογικά αναπτυγμένες ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΕΠΙΣΤΗΜΩΝ ΤΗΣ ΔΙΟΙΚΗΣΗΣ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ ΜΕΤΑΠΤΥΧΙΑΚΟ ΔΙΠΛΩΜΑ ΔΙΟΙΚΗΣΗΣ

Διαβάστε περισσότερα

ΕΝΔΕΙΚΤΙΚΕΣ ΑΠΑΝΤΗΣΕΙΣ ΘΕΜΑΤΩΝ ΝΕΟΕΛΛΗΝΙΚΗΣ ΓΛΩΣΣΑΣ A1. Ο συγγραφέας ορίζει το φαινόμενο του ανθρωπισμού στη σύγχρονη εποχή. Αρχικά προσδιορίζει την

ΕΝΔΕΙΚΤΙΚΕΣ ΑΠΑΝΤΗΣΕΙΣ ΘΕΜΑΤΩΝ ΝΕΟΕΛΛΗΝΙΚΗΣ ΓΛΩΣΣΑΣ A1. Ο συγγραφέας ορίζει το φαινόμενο του ανθρωπισμού στη σύγχρονη εποχή. Αρχικά προσδιορίζει την ΕΝΔΕΙΚΤΙΚΕΣ ΑΠΑΝΤΗΣΕΙΣ ΘΕΜΑΤΩΝ ΝΕΟΕΛΛΗΝΙΚΗΣ ΓΛΩΣΣΑΣ A1. Ο συγγραφέας ορίζει το φαινόμενο του ανθρωπισμού στη σύγχρονη εποχή. Αρχικά προσδιορίζει την έννοια της ανθρωπιάς ως συμμετοχής στα προβλήματα των

Διαβάστε περισσότερα

FARM ΝΟΜΟΙ ΑΠΟΦΑΣΕΙΣ Η ΔΙΕΥΚΡΙΝΙΣΤΙΚΗ ΕΓΚΥΚΛΙΟΣ ΓΙΑ ΤΟ ΣΥΝΕΤΑΙΡΙΣΤΙΚΟ ΝΟΜΟ 4015/2011. εκδοση AgroNews.gr

FARM ΝΟΜΟΙ ΑΠΟΦΑΣΕΙΣ Η ΔΙΕΥΚΡΙΝΙΣΤΙΚΗ ΕΓΚΥΚΛΙΟΣ ΓΙΑ ΤΟ ΣΥΝΕΤΑΙΡΙΣΤΙΚΟ ΝΟΜΟ 4015/2011. εκδοση AgroNews.gr Η ΔΙΕΥΚΡΙΝΙΣΤΙΚΗ ΕΓΚΥΚΛΙΟΣ ΓΙΑ ΤΟ ΣΥΝΕΤΑΙΡΙΣΤΙΚΟ ΝΟΜΟ 4015/2011 3 ΝΟΜΟΙ FARM ΑΠΟΦΑΣΕΙΣ επανέρχεται το αφορολόγητο πλεόνασμα διευκολύνονται οι συγχωνεύσεις συμπράξεις, αναγκαστικοί και δασικοί συνεταιρισμοί

Διαβάστε περισσότερα

Οι 21 όροι του Λένιν

Οι 21 όροι του Λένιν Οι 21 όροι του Λένιν 1. Όλη η προπαγάνδα και η αναταραχή, πρέπει να φέρουν έναν πραγματικά κομμουνιστικό χαρακτήρα και σύμφωνα με το πρόγραμμα και τις αποφάσεις της Κομμουνιστικής Διεθνούς. Όλα τα όργανα

Διαβάστε περισσότερα

ΙΙ. ΤΟ ΒΑΡΟΣ ΤΗΣ ΑΠΟΔΕΙΞΗΣ

ΙΙ. ΤΟ ΒΑΡΟΣ ΤΗΣ ΑΠΟΔΕΙΞΗΣ Ερμηνευτικό Σημείωμα της Ύπατης Αρμοστείας του ΟΗΕ για τους Πρόσφυγες Το βάρος της απόδειξης και η αποδεικτική ισχύς των ισχυρισμών κατά την εξέταση των αιτημάτων ασύλου Ι. ΕΙΣΑΓΩΓΗ Σκοπός του παρόντος

Διαβάστε περισσότερα

ΠΡΟΕΔΡΕΙΟΥ ΔΗΜΟΤΙΚΟΥ ΣΥΜΒΟΥΛΙΟΥ ΔΗΜΟΥ ΚΩ.

ΠΡΟΕΔΡΕΙΟΥ ΔΗΜΟΤΙΚΟΥ ΣΥΜΒΟΥΛΙΟΥ ΔΗΜΟΥ ΚΩ. Ελληνική Δημοκρατία Νόμος Δωδεκανήσου ΔΗΜΟΣ ΚΩ Απόφαση Νο 1 ΑΝΑΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ Που έχει καταχωρηθεί στο 01ο Πρακτικό της από 06-01-2013 ειδικής συνεδρίασης του Δημοτικού Συμβουλίου Κω, απόσπασμα του

Διαβάστε περισσότερα

ΑΠΑΝΤΗΣΕΙΣ ΣΤΟ ΙΑΓΩΝΙΣΜΑ ΝΕΟΕΛΛΗΝΙΚΗΣ ΛΟΓΟΤΕΧΝΙΑΣ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Γ ΛΥΚΕΙΟΥ

ΑΠΑΝΤΗΣΕΙΣ ΣΤΟ ΙΑΓΩΝΙΣΜΑ ΝΕΟΕΛΛΗΝΙΚΗΣ ΛΟΓΟΤΕΧΝΙΑΣ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Γ ΛΥΚΕΙΟΥ ΑΠΑΝΤΗΣΕΙΣ ΣΤΟ ΙΑΓΩΝΙΣΜΑ ΝΕΟΕΛΛΗΝΙΚΗΣ ΛΟΓΟΤΕΧΝΙΑΣ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Γ ΛΥΚΕΙΟΥ Κυριακή 4 Μαρτίου 2012 Α. α) η απάντηση βρίσκεται στη σχολικό βιβλίο: Εισαγωγή των «Ποιημάτων για την Ποίηση», σελίδες

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. M. Morris Mano. Michael D. Ciletti ΤΕΤΑΡΤΗ ΕΚ ΟΣΗ

Ψηφιακή Σχεδίαση. M. Morris Mano. Michael D. Ciletti ΤΕΤΑΡΤΗ ΕΚ ΟΣΗ Ψηφιακή Σχεδίαση ΤΕΤΑΡΤΗ ΕΚ ΟΣΗ M. Morris Mano Ομότιμος Καθηγητής Μηχανικής Υπολογιστών Πανεπιστήμιο της Πολιτείας της Καλιφόρνια, Λος Άντζελες Michael D. Ciletti Τμήμα Σχεδίασης Ηλεκτρικών και Υπολογιστικών

Διαβάστε περισσότερα

ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ

ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ''ΜΕΛΕΤΗ ΓΙΑ ΤΗΝ ΙΚΑΝΟΠΟΙΗΣΗ ΤΩΝ ΦΟΙΤΗΤΩΝ ΤΟΥ ΤΜΗΜΑΤΟΣ ΛΟΓΙΣΤΙΚΗΣ ΤΟΥ Τ.Ε.Ι. ΗΠΕΙΡΟΥ ΑΠΟ ΤΙΣ ΣΠΟΥΔΕΣ ΤΟΥΣ'' ΓΕΩΡΓΙΟΣ ΝΤΑΛΑΟΥΤΗΣ

Διαβάστε περισσότερα

Σε ποιες κατηγορίες μειώνεται η σύνταξη από 1/1/2009 (σε εφαρμογή του Ν.3655/2008)

Σε ποιες κατηγορίες μειώνεται η σύνταξη από 1/1/2009 (σε εφαρμογή του Ν.3655/2008) Σε ποιες κατηγορίες μειώνεται η σύνταξη από 1/1/2009 (σε εφαρμογή του Ν.3655/2008) Μείωση μέχρι 10% θα έχουμε στις νέες συντάξεις από 1/1/2009 στις περιπτώσεις που χορηγείται από τα Ταμεία μειωμένη σύνταξη

Διαβάστε περισσότερα

ΣΥΜΜΟΡΦΩΣΗ ΜΕ ΤΙΣ ΝΕΕΣ ΠΟΛΙΤΙΚΕΣ ΕΡΓΑΣΙΑΣ ΠΕΡΙΛΗΨΗ

ΣΥΜΜΟΡΦΩΣΗ ΜΕ ΤΙΣ ΝΕΕΣ ΠΟΛΙΤΙΚΕΣ ΕΡΓΑΣΙΑΣ ΠΕΡΙΛΗΨΗ ΣΥΜΜΟΡΦΩΣΗ ΜΕ ΤΙΣ ΝΕΕΣ ΠΟΛΙΤΙΚΕΣ ΕΡΓΑΣΙΑΣ ΠΕΡΙΛΗΨΗ Η πρόσφατη οικονομική κρίση έχει δείξει ότι οι χώρες οι οποίες δεν έχουν προχωρήσει σε μεταρρυθμίσεις στην αγορά εργασίας, επηρεάστηκαν περισσότερο. Παράλληλα,

Διαβάστε περισσότερα

ΣΥΜΒΟΛΗ ΤΩΝ ΝΕΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΣΤΗΝ ΚΑΤΑΡΤΙΣΗ ΚΑΙ ΕΚΠΑΙΔΕΥΣΗ ΤΟΥ ΠΡΟΣΩΠΙΚΟΥ ΜΙΑΣ ΣΥΓΧΡΟΝΗΣ ΕΠΙΧΕΙΡΗΣΗΣ

ΣΥΜΒΟΛΗ ΤΩΝ ΝΕΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΣΤΗΝ ΚΑΤΑΡΤΙΣΗ ΚΑΙ ΕΚΠΑΙΔΕΥΣΗ ΤΟΥ ΠΡΟΣΩΠΙΚΟΥ ΜΙΑΣ ΣΥΓΧΡΟΝΗΣ ΕΠΙΧΕΙΡΗΣΗΣ ΤΕΙ ΗΠΕΙΡΟΥ- ΠΑΡΑΡΤΗΜΑ ΠΡΕΒΕΖΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΣΥΜΒΟΛΗ ΤΩΝ ΝΕΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΣΤΗΝ ΚΑΤΑΡΤΙΣΗ ΚΑΙ ΕΚΠΑΙΔΕΥΣΗ ΤΟΥ ΠΡΟΣΩΠΙΚΟΥ ΜΙΑΣ ΣΥΓΧΡΟΝΗΣ ΕΠΙΧΕΙΡΗΣΗΣ ΕΠΙΜΕΛΕΙΑ:

Διαβάστε περισσότερα

Α. ΟΡΓΑΝΑ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΕΦΑΡΜΟΓΗΣ

Α. ΟΡΓΑΝΑ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΕΦΑΡΜΟΓΗΣ Α. ΟΡΓΑΝΑ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΕΦΑΡΜΟΓΗΣ Με την υπαγωγή του τομέα και της πολιτικής για την Έρευνα και την Τεχνολογία στο Υπουργείο Παιδείας, Δια Βίου Μάθησης και Θρησκευμάτων (ΥΠΔΒΜΘ), το Υπουργείο ανέλαβε

Διαβάστε περισσότερα

3. Βιτσιλάκη Χ., Γουβιάς Δ. (2007). ΣΧΟΛΕΙΟ ΚΑΙ ΔΟΥΛΕΙΑ: Μία εμπειρική διερεύνηση της εφηβικής απασχόλησης. Αθήνα (εκδόσεις Gutenberg ).

3. Βιτσιλάκη Χ., Γουβιάς Δ. (2007). ΣΧΟΛΕΙΟ ΚΑΙ ΔΟΥΛΕΙΑ: Μία εμπειρική διερεύνηση της εφηβικής απασχόλησης. Αθήνα (εκδόσεις Gutenberg ). Βιβλία Μελέτες 2007-2010 1. Βιτσιλάκη, Χ. (2007). Κοινωνιολογική, Γνωστική και Εκπαιδευτική Θεμελίωση του Θεσμού του Ολοήμερου Σχολείου. Θεσσαλονίκη: Εκδόσεις Αδελφών Κυριακίδη. 2. Βιτσιλάκη, Χ., Γκασούκα,

Διαβάστε περισσότερα

ΑΠΟΣΠΑΣΜΑ. Από τα πρακτικά της με αριθμό 13ης/2013, συνεδρίασης του Περιφερειακού Συμβουλίου την Τρίτη 9 Ιουλίου 2013 στην Κέρκυρα με τηλεδιάσκεψη.

ΑΠΟΣΠΑΣΜΑ. Από τα πρακτικά της με αριθμό 13ης/2013, συνεδρίασης του Περιφερειακού Συμβουλίου την Τρίτη 9 Ιουλίου 2013 στην Κέρκυρα με τηλεδιάσκεψη. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΙΟΝΙΩΝ ΝΗΣΩΝ ΑΠΟΣΠΑΣΜΑ Από τα πρακτικά της με αριθμό 3ης/203, συνεδρίασης του Περιφερειακού Συμβουλίου την Τρίτη 9 Ιουλίου 203 στην Κέρκυρα με τηλεδιάσκεψη. Αριθ. Απόφασης:

Διαβάστε περισσότερα

ΙΣΤΟΡΙΑ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ

ΙΣΤΟΡΙΑ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ 10 Ιουνίου 2014 ΙΣΤΟΡΙΑ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Απαντήσεις Θεμάτων Πανελληνίων Εξετάσεων Ημερησίων Γενικών Λυκείων ΟΜΑ Α ΠΡΩΤΗ A1. α. Αγροτική μεταρρύθμιση : Η κατάργηση των μεγάλων ιδιοκτησιών και η κατάτμηση

Διαβάστε περισσότερα

TEI ΚΑΛΑΜΑΤΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ & ΟΙΚΟΝΟΜΙΑΣ

TEI ΚΑΛΑΜΑΤΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ & ΟΙΚΟΝΟΜΙΑΣ TEI ΚΑΛΑΜΑΤΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ & ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ : ΔΙΟΙΚΗΣΗΣ ΜΟΝΑΔΩΝ ΤΟΠΙΚΗΣ ΑΥΤΟΑΙΟΙΚΗΣΗΣ ΦΟΡΕΑΣ ΔΙΑΧΕΙΡΙΣΗΣ ΑΠΟΡΡΙΜΜΑΤΩΝ «ΤΟ ΠΑΡΑΔΕΙΓΜΑ ΤΟΥ ΔΗΜΟΥ ΝΙΚΑΙΑΣ» ΕΠΙΒΛΕΠΩΝ ΚΑΘΗΓΗΤΗΣ : ΑΓΓΕΛΙΔΗΣ ΙΠΑΝΝΗΣ ΦΟΙΤΗΤΡΙΑ

Διαβάστε περισσότερα

ΑΠΟΣΠΑΣΜΑ. Από τα πρακτικά της με αριθμό 21ης/2013, συνεδρίασης του Περιφερειακού Συμβουλίου την Σάββατο 1 Νοεμβρίου 2013 στην Κέρκυρα.

ΑΠΟΣΠΑΣΜΑ. Από τα πρακτικά της με αριθμό 21ης/2013, συνεδρίασης του Περιφερειακού Συμβουλίου την Σάββατο 1 Νοεμβρίου 2013 στην Κέρκυρα. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΗΣΩΝ ΑΠΟΣΠΑΣΜΑ Από τα πρακτικά της με αριθμό 21ης/2013, συνεδρίασης του Περιφερειακού Συμβουλίου την Σάββατο 1 Νοεμβρίου 2013 στην Κέρκυρα. Αριθ. Απόφασης 206-21/2013 ΠΕΡΙΛΗΨΗ: Έγκριση

Διαβάστε περισσότερα

ΑΝΑΠΤΥΞΗ ΕΦΑΡΜΟΓΩΝ Κεφάλαιο 3 ο

ΑΝΑΠΤΥΞΗ ΕΦΑΡΜΟΓΩΝ Κεφάλαιο 3 ο ΑΝΑΠΤΥΞΗ ΕΦΑΡΜΟΓΩΝ Να εξηγηθεί η σειριακή αναζήτηση. Η λειτουργία της αναζήτησης σε πίνακα είναι η εύρεση της θέσης στην οποία υπάρχει μια συγκεκριμένη τιμή που ενδιαφέρει το χρήστη. Οι πιο γνωστές μέθοδοι

Διαβάστε περισσότερα

Α Π Ο Σ Π Α Σ Μ Α. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΒΟΙΩΤΙΑΣ ΔΗΜΟΣ ΟΡΧΟΜΕΝΟΥ Αρ.Πρωτ.: 415/14-1-2015

Α Π Ο Σ Π Α Σ Μ Α. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΒΟΙΩΤΙΑΣ ΔΗΜΟΣ ΟΡΧΟΜΕΝΟΥ Αρ.Πρωτ.: 415/14-1-2015 ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΒΟΙΩΤΙΑΣ ΔΗΜΟΣ ΟΡΧΟΜΕΝΟΥ Αρ.Πρωτ.: 415/14-1-2015 Α Π Ο Σ Π Α Σ Μ Α Από το πρακτικό της αριθ. 24 ης /2014 Συνεδρίασης του Δημοτικού Συμβουλίου Δήμου Ορχομενού. Αριθ. Απόφασης 219/2014

Διαβάστε περισσότερα

Αξιολόγηση του εκπαιδευτικού έργου

Αξιολόγηση του εκπαιδευτικού έργου 16MANTAS:Layout 1 6/22/09 3:07 PM Page 195 Παναγιώτης Μαντάς, Παιδαγωγικό Ινστιτούτο Ζαχαρούλα Ταβουλάρη, Σχολική Σύµβουλος Π.Ε. Θεόδωρος αλαβίκας, Παιδαγωγικό Ινστιτούτο Περίληψη Η αξιολόγηση του εκπαιδευτικού

Διαβάστε περισσότερα

ΝΟΜΟΣ ΒΟΙΩΤΙΑΣ ΔΗΜΟΣ ΘΗΒΑΙΩΝ * * * * * * Αριθ. Πρωτ.16183

ΝΟΜΟΣ ΒΟΙΩΤΙΑΣ ΔΗΜΟΣ ΘΗΒΑΙΩΝ * * * * * * Αριθ. Πρωτ.16183 ΑΝΑΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΒΟΙΩΤΙΑΣ ΔΗΜΟΣ ΘΗΒΑΙΩΝ * * * * * * Αριθ. Πρωτ.16183 ΑΔΑ: ΩΛΗΔΩΡΜ-ΧΓΕ ΑΠΟΦΑΣΗ: 856/ 1 5 Ο ΔΗΜΑΡΧΟΣ ΘΗΒΑΙΩΝ Έχοντας υπόψη: 1. Τις διατάξεις του Ν. 3463/06

Διαβάστε περισσότερα

Εταιρεία Ύδρευσης και Αποχέτευσης Πρωτεύουσας Α.Ε. Ετήσιος Απολογισμός & Ετήσιο Δελτίο

Εταιρεία Ύδρευσης και Αποχέτευσης Πρωτεύουσας Α.Ε. Ετήσιος Απολογισμός & Ετήσιο Δελτίο Εταιρεία Ύδρευσης και Αποχέτευσης Πρωτεύουσας Α.Ε. Ετήσιος Απολογισμός & Ετήσιο Δελτίο 2011 Εταιρεία Ύδρευσης και Αποχέτευσης Πρωτεύουσας Α.Ε. Ετήσιος Απολογισμός & Ετήσιο Δελτίο 2011 Ετήσιος Απολογισμός

Διαβάστε περισσότερα

ΑΠΟΣΠΑΣΜΑ. Από το υπ' αριθµ. 30/01-09-2015 Πρακτικό της Οικονοµικής Επιτροπής Ιονίων Νήσων

ΑΠΟΣΠΑΣΜΑ. Από το υπ' αριθµ. 30/01-09-2015 Πρακτικό της Οικονοµικής Επιτροπής Ιονίων Νήσων ΕΛΛΗΝΙΚΗ ΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΙΟΝΙΩΝ ΝΗΣΩΝ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΑΠΟΣΠΑΣΜΑ Από το υπ' αριθµ. 30/01-09-2015 Πρακτικό της Οικονοµικής Επιτροπής Ιονίων Νήσων Αριθµ. απόφασης 571-30/01-09-2015 ΠΕΡΙΛΗΨΗ: Έγκριση

Διαβάστε περισσότερα

Διοίκηση & Γραφεία 2. Επιστολή του Προέδρου 4. Μήνυμα από την Αν. Γενική Διευθύντρια 5. Σύσταση και αρμοδιότητες 6. Αναπτυξιακό Πρόγραμμα 7

Διοίκηση & Γραφεία 2. Επιστολή του Προέδρου 4. Μήνυμα από την Αν. Γενική Διευθύντρια 5. Σύσταση και αρμοδιότητες 6. Αναπτυξιακό Πρόγραμμα 7 Ετήσια Έκθεση 2013 Π Ε Ρ Ι Ε Χ Ο Μ Ε Ν Α Διοίκηση & Γραφεία 2 Επιστολή του Προέδρου 4 Μήνυμα από την Αν. Γενική Διευθύντρια 5 Σύσταση και αρμοδιότητες 6 Αναπτυξιακό Πρόγραμμα 7 Σχέδια που έχουν συμπληρωθεί

Διαβάστε περισσότερα

ΠΑΡΑΡΤΗΜΑ ΤΜΗΜΑΤΑ ΕΚΘΕΣΗΣ ΑΥΤΟΑΞΙΟΛΟΓΗΣΗΣ ΤΟΥ ΙΔΡΥΜΑΤΟΣ ΠΟΥ ΘΑ ΠΡΕΠΕΙ ΝΑ ΣΥΜΠΛΗΡΩΘΟΥΝ ΑΠΟ ΤΑ ΤΜΗΜΑΤΑ ΤΟΥ ΕΚΠΑ

ΠΑΡΑΡΤΗΜΑ ΤΜΗΜΑΤΑ ΕΚΘΕΣΗΣ ΑΥΤΟΑΞΙΟΛΟΓΗΣΗΣ ΤΟΥ ΙΔΡΥΜΑΤΟΣ ΠΟΥ ΘΑ ΠΡΕΠΕΙ ΝΑ ΣΥΜΠΛΗΡΩΘΟΥΝ ΑΠΟ ΤΑ ΤΜΗΜΑΤΑ ΤΟΥ ΕΚΠΑ ΠΑΡΑΡΤΗΜΑ ΤΜΗΜΑΤΑ ΕΚΘΕΣΗΣ ΑΥΤΟΑΞΙΟΛΟΓΗΣΗΣ ΤΟΥ ΙΔΡΥΜΑΤΟΣ ΠΟΥ ΘΑ ΠΡΕΠΕΙ ΝΑ ΣΥΜΠΛΗΡΩΘΟΥΝ ΑΠΟ ΤΑ ΤΜΗΜΑΤΑ ΤΟΥ ΕΚΠΑ 2 Δ. Προγράμματα Σπουδών Στην ενότητα αυτή το Ίδρυμα καλείται να αναλύσει κριτικά και να αξιολογήσει

Διαβάστε περισσότερα

ΕΙΣΗΓΗΣΗ. επί της 5ης Αναμόρφωσης του προϋπολογισμού της Περιφέρειας Ιονίων Νήσων, για το οικονομικό έτος 2014

ΕΙΣΗΓΗΣΗ. επί της 5ης Αναμόρφωσης του προϋπολογισμού της Περιφέρειας Ιονίων Νήσων, για το οικονομικό έτος 2014 ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΙΟΝΙΩΝ ΝΗΣΩΝ ΓΕΝΙΚΗ Δ/ΝΣΗ ΕΣΩΤΕΡΙΚΗΣ ΛΕΙΤΟΥΡΓΙΑΣ Δ/ΝΣΗ ΟΙΚΟΝΟΜΙΚΟΥ ΤΜΗΜΑ ΠΡΟΥΠ/ΣΜΟΥ ΚΑΙ ΔΙΑΧ/ΣΗΣ ΤΑΧ. ΔΙΕΥΘΥΝΣΗ: ΣΑΜΑΡΑ 13 ΚΕΡΚΥΡΑ, 49100 Πληρ.: Αικ. Κότση Τηλ.:2661362254

Διαβάστε περισσότερα

Παραβατικότητα Ανηλίκων και Πρόγραμμα Κυκλοφοριακής Αγωγής «ΕΡΜΗΣ» ΥΠΗΡΕΣΙΑ ΕΠΙΜΕΛΗΤΩΝ ΑΝΗΛΙΚΩΝ ΔΙΚΑΣΤΗΡΙΟΥ ΑΝΗΛΙΚΩΝ ΑΘΗΝΑΣ

Παραβατικότητα Ανηλίκων και Πρόγραμμα Κυκλοφοριακής Αγωγής «ΕΡΜΗΣ» ΥΠΗΡΕΣΙΑ ΕΠΙΜΕΛΗΤΩΝ ΑΝΗΛΙΚΩΝ ΔΙΚΑΣΤΗΡΙΟΥ ΑΝΗΛΙΚΩΝ ΑΘΗΝΑΣ Παραβατικότητα Ανηλίκων και Πρόγραμμα Κυκλοφοριακής Αγωγής «ΕΡΜΗΣ» Τάνια Κοσκινιάδου Κοινωνική Λειτουργός Πάρη Ζαγούρα Νομικός-Εγκληματολόγος ΥΠΗΡΕΣΙΑ ΕΠΙΜΕΛΗΤΩΝ ΑΝΗΛΙΚΩΝ ΔΙΚΑΣΤΗΡΙΟΥ ΑΝΗΛΙΚΩΝ ΑΘΗΝΑΣ ο

Διαβάστε περισσότερα

www.kapalearn.gr e-mail: info@kapalearn.gr ΚΟΡΙΝΘΟΥ 255, ΚΑΝΑΚΑΡΗ 101 ΤΗΛ. 2610 625.360, 2610 624.009, FAX 2610 625.366

www.kapalearn.gr e-mail: info@kapalearn.gr ΚΟΡΙΝΘΟΥ 255, ΚΑΝΑΚΑΡΗ 101 ΤΗΛ. 2610 625.360, 2610 624.009, FAX 2610 625.366 Α. Ο άνθρωπος, όπως υπογραμμίζει ο συγγραφέας, δεν είναι ρυθμιστής του κόσμου, παρά διαχειριστής του. Αυτή την παρεξήγηση, που ίσχυε για αιώνες, θέλησε να διαλύσει ο πανεπιστήμων άνθρωπος της Αναγέννησης,

Διαβάστε περισσότερα

ΚΡΕΜΑΣΤΗ ΚΑΛΟΚΑΙΡΙ 2013 ΠΡΟΓΡΑΜΜΑ ΕΚΔΗΛΩΣΕΩΝ

ΚΡΕΜΑΣΤΗ ΚΑΛΟΚΑΙΡΙ 2013 ΠΡΟΓΡΑΜΜΑ ΕΚΔΗΛΩΣΕΩΝ ΑΥΓΟΥΣΤΟΣ 2013 - ΑΡ. ΦΥΛΛΟΥ 51 ΤΙΜΗ 5 Ευρώ Δυστυχώς η άνοιξη φέτος για το χωριό μας ήρθε πικρή. Ο άδικος και απρόσμενος χαμός του αγαπημένου μας Μπίλι, πάγωσε τις καρδιές όλων μας. Ένα χαριτωμένο, αξιαγάπητο

Διαβάστε περισσότερα

Τρίτη, 2 Σεπτεμβρίου 2014 Αριθ. Τεύχους: 200 Περιεχόμενα

Τρίτη, 2 Σεπτεμβρίου 2014 Αριθ. Τεύχους: 200 Περιεχόμενα Περιεχόμενα Σχετικά με την εφημερίδα ΔΗΜΟΣΙΟγραφικά... 2 Κατάργηση της υποβολής επικυρωμένων αντιγράφων... 3 Υπόμνηση της κατάργησης της υποχρέωσης υποβολής πρωτότυπων ή επικυρωμένων αντιγράφων εγγράφων...

Διαβάστε περισσότερα

ΙΣΟΚΡΑΤΗΣ ΤΡΑΠΕΖΑ ΝΟΜΙΚΩΝ ΠΛΗΡΟΦΟΡΙΩΝ ΣΑ

ΙΣΟΚΡΑΤΗΣ ΤΡΑΠΕΖΑ ΝΟΜΙΚΩΝ ΠΛΗΡΟΦΟΡΙΩΝ ΣΑ ΙΣΟΚΡΑΤΗΣ ΤΡΑΠΕΖΑ ΝΟΜΙΚΩΝ ΠΛΗΡΟΦΟΡΙΩΝ ΣΑ ΣΤΟΙΧΕΙΑ ΝΟΜΟΘΕΤΗΜΑΤΟΣ Είδος: ΝΟΜΟΣ Αριθµός: 1569 Έτος: 1985 ΦΕΚ: Α 183 19851025 Τέθηκε σε ισχύ: 25.10.1985 Ηµ.Υπογραφής: 21.10.1985 Τίτλος ιαµεσολάβηση στις συµβάσεις

Διαβάστε περισσότερα

Αν και νόµιµα προσκλήθηκαν 1. ΒΟΡΕΑΣ ΣΕΒΑΣΤΟΣ ΗΜΟΤ.ΣΥΜΒΟΥΛΟΣ (προσήλθε) 2. ΜΙΧΑΛΕΛΗΣ ΗΜΗΤΡΙΟΣ «(προσήλθε) 1

Αν και νόµιµα προσκλήθηκαν 1. ΒΟΡΕΑΣ ΣΕΒΑΣΤΟΣ ΗΜΟΤ.ΣΥΜΒΟΥΛΟΣ (προσήλθε) 2. ΜΙΧΑΛΕΛΗΣ ΗΜΗΤΡΙΟΣ «(προσήλθε) 1 Αναρτητέα στο διαδίκτυο ΕΛΛΗΝΙΚΗ ΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΑΤΤΙΚΗΣ ΗΜΟΣ ΠΕΝΤΕΛΗΣ A Π Ο Σ Π Α Σ Μ Α Από τα πρακτικά της µε αριθµ. 23/2014 τακτικής συνεδρίασης του ηµοτικού Συµβουλίου Αριθµ.Απόφασης: 226/2014 Π Ε

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΙΡΑΙΩΣ ΤΜΗΜΑ ΔΙΕΘΝΩΝ ΚΑΙ ΕΥΡΩΠΑΪΚΩΝ ΣΠΟΥΔΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ ΣΤΙΣ ΔΙΕΘΝΕΙΣ ΚΑΙ ΕΥΡΩΠΑΪΚΕΣ ΣΠΟΥΔΕΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΙΡΑΙΩΣ ΤΜΗΜΑ ΔΙΕΘΝΩΝ ΚΑΙ ΕΥΡΩΠΑΪΚΩΝ ΣΠΟΥΔΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ ΣΤΙΣ ΔΙΕΘΝΕΙΣ ΚΑΙ ΕΥΡΩΠΑΪΚΕΣ ΣΠΟΥΔΕΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΙΡΑΙΩΣ ΤΜΗΜΑ ΔΙΕΘΝΩΝ ΚΑΙ ΕΥΡΩΠΑΪΚΩΝ ΣΠΟΥΔΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ ΣΤΙΣ ΔΙΕΘΝΕΙΣ ΚΑΙ ΕΥΡΩΠΑΪΚΕΣ ΣΠΟΥΔΕΣ Διπλωματική Εργασία Η ΕΛΛΗΝΙΚΗ ΕΞΩΤΕΡΙΚΗ ΠΟΛΙΤΙΚΗ ΚΑΙ Η ΣΥΝΟΔΟΣ ΚΟΡΥΦΗΣ ΤΟΥ

Διαβάστε περισσότερα

Οι 99 θέσεις του Ποταμιού

Οι 99 θέσεις του Ποταμιού Οι 99 θέσεις του Ποταμιού 1. Πνεύμα αλλαγών Οι κοινωνίες μπορούν και πρέπει να εξελίσσουν τους θεσμούς τους. Μέσα από αυτή την αλλαγή αλλάζουν οι άνθρωποι, ο κόσμος και η εικόνα των ανθρώπων για τον κόσμο.

Διαβάστε περισσότερα

1. ΠΡΟΓΡΑΜΜΑ ΠΑΝΕΛΛΑΔΙΚΩΝ ΕΞΕΤΑΣΕΩΝ 2013 ΗΜΕΡΗΣΙΩΝ ΚΑΙ ΕΣΠΕΡΙΝΩΝ ΓΕΝΙΚΩΝ ΛΥΚΕΙΩΝ (ΓΕΛ)

1. ΠΡΟΓΡΑΜΜΑ ΠΑΝΕΛΛΑΔΙΚΩΝ ΕΞΕΤΑΣΕΩΝ 2013 ΗΜΕΡΗΣΙΩΝ ΚΑΙ ΕΣΠΕΡΙΝΩΝ ΓΕΝΙΚΩΝ ΛΥΚΕΙΩΝ (ΓΕΛ) 1. ΠΡΟΓΡΑΜΜΑ ΠΑΝΕΛΛΑΔΙΚΩΝ ΕΞΕΤΑΣΕΩΝ 2013 ΗΜΕΡΗΣΙΩΝ ΚΑΙ ΕΣΠΕΡΙΝΩΝ ΓΕΝΙΚΩΝ ΛΥΚΕΙΩΝ (ΓΕΛ) ΠΑΡΑΣΚΕΥΗ 17-5 - 2013 -ΝΕΟΕΛΛΗΝΙΚΗ ΓΛΩΣΣΑ ΔΕΥΤΕΡΑ 20-5 - 2013 ΤΕΤΑΡΤΗ 22-5 - 2013 ΠΑΡΑΣΚΕΥΗ 24-5 - 2013 ΔΕΥΤΕΡΑ 27-5

Διαβάστε περισσότερα

ΠΑΡΟΥΣΙΑΣΗ ΚΑΙ ΚΡΙΤΙΚΗ ΒΙΒΑΙΟΥ

ΠΑΡΟΥΣΙΑΣΗ ΚΑΙ ΚΡΙΤΙΚΗ ΒΙΒΑΙΟΥ Επιθ. Κοιγ. Ερευνών, 103, Γ' 2000, 170-174 ΠΑΡΟΥΣΙΑΣΗ ΚΑΙ ΚΡΙΤΙΚΗ ΒΙΒΑΙΟΥ από τον Θεόδωρο Π. Οικονόμου Ζήσης Παπαδημητρίου, 2000, Ο ευρωπαϊκός ρατσισμός. Εισαγωγή στο φυλετικό μίσος: Ιστορική, κοινωνιολογική

Διαβάστε περισσότερα

ΝΕΑ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΑ ΠΡΟΪΟΝΤΑ- ΕΦΑΡΜΟΓΗ ΣΕ ΑΣΦΑΛΙΣΤΙΚΗ ΕΠΙΧΕΙΡΗΣΗ

ΝΕΑ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΑ ΠΡΟΪΟΝΤΑ- ΕΦΑΡΜΟΓΗ ΣΕ ΑΣΦΑΛΙΣΤΙΚΗ ΕΠΙΧΕΙΡΗΣΗ ΝΕΑ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΑ ΠΡΟΪΟΝΤΑ- ΕΦΑΡΜΟΓΗ ΣΕ ΑΣΦΑΛΙΣΤΙΚΗ ΕΠΙΧΕΙΡΗΣΗ ΕΙΣΗΓΗΤΗΣ: ΣΩΤΗΡΟΠΟΥΛΟΣ ΙΩΑΝΝΗΣ ΟΙ ΦΟΙΤΗΤΕΣ: ΤΣΙΡΙΠΙΔΟΥ ΦΩΤΕΙΝΗ ΚΑΣΙΑΡΑΣ ΑΘΑΝΑΣΙΟΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...1 Εισαγωγή...6 ΜΕΡΟΣ Α ΝΕΟ ΠΡΟΪΟΝ

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΒΟΙΩΤΙΑΣ ΔΗΜΟΣ ΟΡΧΟΜΕΝΟΥ Αρ.Πρωτ.: 10829/14-8-2015 Α Π Ο Σ Π Α Σ Μ Α

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΒΟΙΩΤΙΑΣ ΔΗΜΟΣ ΟΡΧΟΜΕΝΟΥ Αρ.Πρωτ.: 10829/14-8-2015 Α Π Ο Σ Π Α Σ Μ Α ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΒΟΙΩΤΙΑΣ ΔΗΜΟΣ ΟΡΧΟΜΕΝΟΥ Αρ.Πρωτ.: 10829/14-8-2015 Α Π Ο Σ Π Α Σ Μ Α Από το πρακτικό της αριθ. 12 ης /2015 Συνεδρίασης του Δημοτικού Συμβουλίου Δήμου Ορχομενού. Αριθ. Απόφασης

Διαβάστε περισσότερα

Η δίκη του Νίκου Πλουμπίδη μέσα από τις εφημερίδες.

Η δίκη του Νίκου Πλουμπίδη μέσα από τις εφημερίδες. Του Σταύρου Καλλώνη.* Η δίκη του Νίκου Πλουμπίδη μέσα από τις εφημερίδες. Ο χώρος τα πρόσωπα και το κατηγορητήριο. Η δίκη της ηγεσίας του ΚΚΕ, γιατί περί αυτού πρόκειται, συνηθίζεται να λέγεται ως δίκη

Διαβάστε περισσότερα

ΥΠΟΔΕΙΓΜΑ ΣΥΜΠΛΗΡΩΣΗΣ

ΥΠΟΔΕΙΓΜΑ ΣΥΜΠΛΗΡΩΣΗΣ Έντυπο Υπολογισμού Κενών-Πλεονασμάτων Σχολείου στο Σύστημα «Αθηνά» Γενικές Πληροφορίες ΓΥΜΝΑΣΙΩΝ Ωράριο Διευθυντή 5 δηλ. τόσο εμφανίζεται στην οθόνη με τα ωράρια στο Αθηνά Όνομα/τα Υποδιευθυντή/ών 1. Βασιλόπουλος

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΤΟΠΙΚΗΣ ΑΥΤΟΔΙΟΙΚΗΣΗΣ «Δήμος Αιγάλεω» ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΘΕΜΑ : «ΑΣΚΗΣΗ ΔΗΜΟΣΙΑΣ ΠΟΛΙΤΙΚΗΣ ΣΕ ΤΟΠΙΚΟ ΕΠΙΠΕΔΟ. Η ΜΕΛΕΤΗ ΠΕΡΙΠΤΩΣΗΣ

Διαβάστε περισσότερα

ΥΠΟΜΝΗΜΑ ΕΣΕΕ ΓΙΑ ΤΟ ΕΝΙΑΙΟ ΣΥΣΤΗΜΑ ΚΟΙΝΩΝΙΚΗΣ ΑΣΦΑΛΙΣΗΣ ΕΘΝΙΚΟ ΣΥΣΤΗΜΑ ΚΟΙΝΩΝΙΚΗΣ ΑΣΦΑΛΙΣΗΣ

ΥΠΟΜΝΗΜΑ ΕΣΕΕ ΓΙΑ ΤΟ ΕΝΙΑΙΟ ΣΥΣΤΗΜΑ ΚΟΙΝΩΝΙΚΗΣ ΑΣΦΑΛΙΣΗΣ ΕΘΝΙΚΟ ΣΥΣΤΗΜΑ ΚΟΙΝΩΝΙΚΗΣ ΑΣΦΑΛΙΣΗΣ ΥΠΟΜΝΗΜΑ ΕΣΕΕ ΓΙΑ ΤΟ ΕΝΙΑΙΟ ΣΥΣΤΗΜΑ ΚΟΙΝΩΝΙΚΗΣ ΑΣΦΑΛΙΣΗΣ ΕΘΝΙΚΟ ΣΥΣΤΗΜΑ ΚΟΙΝΩΝΙΚΗΣ ΑΣΦΑΛΙΣΗΣ Ιανουάριος 2016 1. Εισαγωγή Το πλέον χαρακτηριστικό ίσως στοιχείο του ελληνικού ασφαλιστικού συστήματος μέχρι

Διαβάστε περισσότερα

Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν

Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν μικρομεσαίες επιχειρήσεις και ελευθέρους επαγγελματίες. Τονίζεται ότι τα προγράμματα είναι σε προδημοσίευση. Με τη δημοσίευση της

Διαβάστε περισσότερα

Κύκλος Σχέσεων Κράτους -Πολίτη. ΠΟΡΙΣΜΑ (Άρθρο 4 6 ν. 3094/2003 «Συνήγορος του Πολίτη και άλλες διατάξεις»)

Κύκλος Σχέσεων Κράτους -Πολίτη. ΠΟΡΙΣΜΑ (Άρθρο 4 6 ν. 3094/2003 «Συνήγορος του Πολίτη και άλλες διατάξεις») Κύκλος Σχέσεων Κράτους -Πολίτη ΠΟΡΙΣΜΑ (Άρθρο 4 6 ν. 3094/2003 «Συνήγορος του Πολίτη και άλλες διατάξεις») «Απαγορευτικοί όροι για την άσκηση δικαιώµατος ένταξης στο πρόγραµµα επιδότησης ενοικίου, έτους

Διαβάστε περισσότερα

ΕΠΙΧΕΙΡΗΣΙΑΚΌ ΠΡΌΓΡΑΜΜΑ ΔΉΜΟΥ ΗΓΟΥΜΕΝΊΤΣΑΣ ΓΙΑ ΤΗΝ ΠΕΡΊΟΔΟ 2015 2019

ΕΠΙΧΕΙΡΗΣΙΑΚΌ ΠΡΌΓΡΑΜΜΑ ΔΉΜΟΥ ΗΓΟΥΜΕΝΊΤΣΑΣ ΓΙΑ ΤΗΝ ΠΕΡΊΟΔΟ 2015 2019 ΕΠΙΧΕΙΡΗΣΙΑΚΌ ΠΡΌΓΡΑΜΜΑ ΔΉΜΟΥ ΗΓΟΥΜΕΝΊΤΣΑΣ ΓΙΑ ΤΗΝ ΠΕΡΊΟΔΟ 2015 2019 Α ΦΑΣΗ:ΣΤΡΑΤΗΓΙΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΔΗΜΟΣ ΗΓΟΥΜΕΝΙΤΣΑΣ www.igoumenitsa.gr Επιχειρησιακό Πρόγραμμα Δήμου Ηγουμενίτσας για την περίοδο 2015

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΘΕΣΣΑΛΙΑΣ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΠΡΑΚΤΙΚΟ 20 ο /26-8-2013 ΑΠΟΦΑΣΗ 907/2013

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΘΕΣΣΑΛΙΑΣ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΠΡΑΚΤΙΚΟ 20 ο /26-8-2013 ΑΠΟΦΑΣΗ 907/2013 ΑΝΑΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΘΕΣΣΑΛΙΑΣ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΠΡΑΚΤΙΚΟ 20 ο /26-8-2013 ΑΠΟΦΑΣΗ 907/2013 ΘΕΜΑ 16 ο Εισήγηση για την οικονομική ενίσχυση δράσεων της Αστικής μη κερδοσκοπικής

Διαβάστε περισσότερα

Ο κόσμος των επιχειρήσεων, τησ οικονομιασ και των αγορών: επιχειρηματικές δραστηριότητες, επιχειρηματικοί κίνδυνοι και επιχειρηματικές πρακτικές

Ο κόσμος των επιχειρήσεων, τησ οικονομιασ και των αγορών: επιχειρηματικές δραστηριότητες, επιχειρηματικοί κίνδυνοι και επιχειρηματικές πρακτικές Παρατήρηση Από την παρούσα αξία 96.153,85 οδηγηθήκαμε με τον εκτοκισμό στην ονομαστική αξία, αφού το υπόλοιπο του πελάτη μας θα είναι κατά την 31.12.2016 100.000 (96.153,85 + 3.846,15). 4/31.12.2016 Χ

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΡΟΠΤΥΧΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ «ΔΙΟΙΚΗΣΗ ΕΠΙΧΕΙΡΗΣΕΩΝ ΚΑΙ ΟΡΓΑΝΙΣΜΩΝ» Θ.Ε. ΔΕΟ 10 Βασικές Αρχές Δικαίου και Διοίκησης

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΡΟΠΤΥΧΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ «ΔΙΟΙΚΗΣΗ ΕΠΙΧΕΙΡΗΣΕΩΝ ΚΑΙ ΟΡΓΑΝΙΣΜΩΝ» Θ.Ε. ΔΕΟ 10 Βασικές Αρχές Δικαίου και Διοίκησης ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΡΟΠΤΥΧΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ «ΔΙΟΙΚΗΣΗ ΕΠΙΧΕΙΡΗΣΕΩΝ ΚΑΙ ΟΡΓΑΝΙΣΜΩΝ» Θ.Ε. ΔΕΟ 10 Βασικές Αρχές Δικαίου και Διοίκησης Τρίτη Γραπτή Εργασία στο Αστικό και Εργατικό Δίκαιο Ακαδημαϊκό

Διαβάστε περισσότερα

ΔΙΑΔΟΣΗ ΘΕΡΜΟΤΗΤΑΣ Φυσική Β' Γυμνασίου. Επιμέλεια: Ιωάννης Γιαμνιαδάκης

ΔΙΑΔΟΣΗ ΘΕΡΜΟΤΗΤΑΣ Φυσική Β' Γυμνασίου. Επιμέλεια: Ιωάννης Γιαμνιαδάκης ΔΙΑΔΟΣΗ ΘΕΡΜΟΤΗΤΑΣ Φυσική Β' Γυμνασίου Επιμέλεια: Ιωάννης Γιαμνιαδάκης Σύνδεση με προηγούμενο Μάθημα Στο κεφάλαιο Θερμότητα έχουμε μάθει: Τι είναι θερμότητα & θερμοκρασία μακροσκοπικά & μικροσκοπικά Μέτρηση

Διαβάστε περισσότερα

ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗ ΛΟΓΙΣΤΙΚΗ

ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗ ΛΟΓΙΣΤΙΚΗ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗ ΛΟΓΙΣΤΙΚΗ ΑΘΗΝΑ 2015 1 Το επιστημονικό περιεχόμενο του παρόντος βιβλίου έχει υποβληθεί σε κριτική ανάγνωση και εγκριθεί με το σύστημα των κριτών. Η κριτική ανάγνωση πραγματοποιήθηκε από

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕΔΟΝΙΑΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕΔΟΝΙΑΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕΔΟΝΙΑΣ Τμήμα Μουσικής Επιστήμης και Τέχνης Πτυχιακή Εργασία της φοιτήτριας Αναστασίας Κουτουλίδου με τίτλο: Ο ρόλος της γυναίκας στο ρεμπέτικο τραγούδι (Πειραιάς, 1922-1953) Επιβλέπουσα

Διαβάστε περισσότερα

ΣΥΝΕΝΤΕΥΞΗ ΤΥΠΟΥ. Η ολοκληρωμένη προσέγγιση θα εφαρμοστεί με τα παρακάτω Εργαλεία

ΣΥΝΕΝΤΕΥΞΗ ΤΥΠΟΥ. Η ολοκληρωμένη προσέγγιση θα εφαρμοστεί με τα παρακάτω Εργαλεία ΣΥΝΕΝΤΕΥΞΗ ΤΥΠΟΥ ΕΙΣΑΓΩΓΗ Η κρίση υπερχρέωσης και οι πολιτικές δημοσιονομικής προσαρμογής ανέδειξαν τις διαρθρωτικές αδυναμίες της περιφερειακής οικονομίας και προκάλεσαν επιπτώσεις σε σχέση με την οικονομική

Διαβάστε περισσότερα

Η ΑΥΤΕΠΑΓΓΕΛΤΗ ΑΝΑΖΗΤΗΣΗ ΔΙΚΑΙΟΛΟΓΗΤΙΚΩΝ ΜΙΑ ΚΡΙΤΙΚΗ ΑΠΟΤΙΜΗΣΗ. ( Διοικητική Ενημέρωση, τ.51, Οκτώβριος Νοέμβριος Δεκέμβριος 2009)

Η ΑΥΤΕΠΑΓΓΕΛΤΗ ΑΝΑΖΗΤΗΣΗ ΔΙΚΑΙΟΛΟΓΗΤΙΚΩΝ ΜΙΑ ΚΡΙΤΙΚΗ ΑΠΟΤΙΜΗΣΗ. ( Διοικητική Ενημέρωση, τ.51, Οκτώβριος Νοέμβριος Δεκέμβριος 2009) Η ΑΥΤΕΠΑΓΓΕΛΤΗ ΑΝΑΖΗΤΗΣΗ ΔΙΚΑΙΟΛΟΓΗΤΙΚΩΝ ΜΙΑ ΚΡΙΤΙΚΗ ΑΠΟΤΙΜΗΣΗ ( Διοικητική Ενημέρωση, τ.5, Οκτώβριος Νοέμβριος Δεκέμβριος 009). Η θέσπιση του νέου μέτρου Η σημαντικότερη απόπειρα καινοτομικής δράσης της

Διαβάστε περισσότερα

ΝΟΜΟΣ ΕΒΡΟΥ Αριθµ.πρωτ.: 385 ΗΜΟΤΙΚΗ ΚΟΙΝΩΦΕΛΗΣ ΕΠΙΧΕΙΡΗΣΗ ΠΟΛΙΤΙΣΤΙΚΗΣ ΑΝΑΠΤΥΞΗΣ ΟΡΕΣΤΙΑ ΑΣ ( H.Κ.Ε.Π.Α.Ο.)

ΝΟΜΟΣ ΕΒΡΟΥ Αριθµ.πρωτ.: 385 ΗΜΟΤΙΚΗ ΚΟΙΝΩΦΕΛΗΣ ΕΠΙΧΕΙΡΗΣΗ ΠΟΛΙΤΙΣΤΙΚΗΣ ΑΝΑΠΤΥΞΗΣ ΟΡΕΣΤΙΑ ΑΣ ( H.Κ.Ε.Π.Α.Ο.) ΕΛΛΗΝΙΚΗ ΗΜΟΚΡΑΤΙΑ Ορεστιάδα:29/10/2014 ΝΟΜΟΣ ΕΒΡΟΥ Αριθµ.πρωτ.: 385 ΗΜΟΤΙΚΗ ΚΟΙΝΩΦΕΛΗΣ ΕΠΙΧΕΙΡΗΣΗ ΠΟΛΙΤΙΣΤΙΚΗΣ ΑΝΑΠΤΥΞΗΣ ΟΡΕΣΤΙΑ ΑΣ ( H.Κ.Ε.Π.Α.Ο.) Ταχ. /νση: Κων/πόλεως 59 Τ.Κ: 68200 Ορεστιάδα Πληροφορίες:

Διαβάστε περισσότερα

ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΑΣ «ΚΑΤΟΙΚΙΔΙΑ ΖΩΑ»

ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΑΣ «ΚΑΤΟΙΚΙΔΙΑ ΖΩΑ» ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΑΣ «ΚΑΤΟΙΚΙΔΙΑ ΖΩΑ» ΕΙΣΑΓΩΓΗ ΤΙΤΛΟΣ ΕΡΕΥΝΑΣ : Ποιο είναι το αγαπημένο ζώο των εφήβων? ΕΙΣΑΓΩΓΗ: Από τα αρχαία χρόνια οι άνθρωποι ανέπτυξαν μια ιδιαίτερη σχέση με τα ζώα. Τα χρησιμοποιούσαν

Διαβάστε περισσότερα

Εσωτερικοί Κανονισμοί Τοπικής Αυτοδιοίκησης

Εσωτερικοί Κανονισμοί Τοπικής Αυτοδιοίκησης Εσωτερικοί Κανονισμοί Τοπικής Αυτοδιοίκησης Καταστατικές Πρόνοιες και Εσωτερικοί Κανονισμοί που αφορούν τη Διεύθυνση Τοπικής Αυτοδιοίκησης, τις εκλογές Τοπικής Αυτοδιοίκησης και Σχολικών Εφορειών, τη λειτουργία

Διαβάστε περισσότερα

ΤΕΥΧΟΣ ΔΙΑΚΗΡΥΞΗΣ ΠΡΟΧΕΙΡΟΥ ΔΙΑΓΩΝΙΣΜΟΥ

ΤΕΥΧΟΣ ΔΙΑΚΗΡΥΞΗΣ ΠΡΟΧΕΙΡΟΥ ΔΙΑΓΩΝΙΣΜΟΥ ΒΟΥΛΗ ΤΩΝ ΕΛΛΗΝΩΝ ΥΠΗΡΕΣΙΑ ΕΦΑΡΜΟΓΗΣ ΕΥΡΩΠΑΪΚΩΝ ΠΡΟΓΡΑΜΜΑΤΩΝ Αριθμ. Πρωτ.: 4076 Αθήνα, 21/03/2012 Διεκπ.: 3290 ΤΕΥΧΟΣ ΔΙΑΚΗΡΥΞΗΣ ΠΡΟΧΕΙΡΟΥ ΔΙΑΓΩΝΙΣΜΟΥ για το Υποέργο 2 με τίτλο: «Έρευνα για την αξιοποίηση

Διαβάστε περισσότερα

Ενότητα 2. Γενικά Οργάνωση Ελέγχου (ΙΙ) Φύλλα Εργασίας Εκθέσεις Ελέγχων

Ενότητα 2. Γενικά Οργάνωση Ελέγχου (ΙΙ) Φύλλα Εργασίας Εκθέσεις Ελέγχων Ενότητα 2 Γενικά Οργάνωση Ελέγχου (ΙΙ) Φύλλα Εργασίας Εκθέσεις Ελέγχων Φύλλα Εργασίας (Γενικά) Με τον όρο "φύλλα εργασίας" εννοούµε, το σύνολο των φύλλων που περιέχουν όλο το αποδεικτικό υλικό, το οποίο

Διαβάστε περισσότερα

ΠΡΟΜΗΘΕΙΑ ΕΙΔΩΝ ΚΑΘΑΡΙΟΤΗΤΑΣ ΚΑΙ ΕΥΠΡΕΠΙΣΜΟΥ ΤΟΥ ΔΗΜΟΥ ΚΑΙ ΤΩΝ ΝΟΜΙΚΩΝ ΤΟΥ ΠΡΟΣΩΠΩΝ

ΠΡΟΜΗΘΕΙΑ ΕΙΔΩΝ ΚΑΘΑΡΙΟΤΗΤΑΣ ΚΑΙ ΕΥΠΡΕΠΙΣΜΟΥ ΤΟΥ ΔΗΜΟΥ ΚΑΙ ΤΩΝ ΝΟΜΙΚΩΝ ΤΟΥ ΠΡΟΣΩΠΩΝ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΕΡΓΟ: Προμήθεια ειδών καθαριότητας ΔΗΜΟΣ ΣΠΑΤΩΝ ΑΡΤΕΜΙΔΟΣ και ευπρεπισμού για το έτος 2014 ΟΙΚΟΝΟΜΙΚΗ ΥΠΗΡΕΣΙΑ ΤΜΗΜΑ ΠΡΟΜΗΘΕΙΩΝ ΑΡ. ΜΕΛ. : 31/2014 ΜΕΛΕΤΗ ΠΡΟΜΗΘΕΙΑ ΕΙΔΩΝ ΚΑΘΑΡΙΟΤΗΤΑΣ

Διαβάστε περισσότερα

ΕΘΙΜΑ ΤΟΥ ΚΟΣΜΟΥ. Αγγελική Περιστέρη Α 2

ΕΘΙΜΑ ΤΟΥ ΚΟΣΜΟΥ. Αγγελική Περιστέρη Α 2 ΕΘΙΜΑ ΤΟΥ ΚΟΣΜΟΥ Αγγελική Περιστέρη Α 2 ΧΡΙΣΤΟΥΓΕΝΝΑ Ιρλανδία: Τη νύκτα της παραμονής των Χριστουγέννων όλα τα παράθυρα των σπιτιών που βλέπουν προς το δρόμο, φωτίζονται από ένα αναμμένο κερί, το οποίο

Διαβάστε περισσότερα

ΤΕΙ ΚΑΛΑΜΑΤΑΣ. ΑΡΓΥΡΗ ΔΗΜΗΤΡΑ Σχολής Διοίκησης και Οικονομίας Τμήμα Χρηματοοικονομικής και Ελεγκτικής Επιστήμης Εισηγητής :Λυγγίτσος Αλέξανδρος

ΤΕΙ ΚΑΛΑΜΑΤΑΣ. ΑΡΓΥΡΗ ΔΗΜΗΤΡΑ Σχολής Διοίκησης και Οικονομίας Τμήμα Χρηματοοικονομικής και Ελεγκτικής Επιστήμης Εισηγητής :Λυγγίτσος Αλέξανδρος ΤΕΙ ΚΑΛΑΜΑΤΑΣ ΑΡΓΥΡΗ ΔΗΜΗΤΡΑ Σχολής Διοίκησης και Οικονομίας Τμήμα Χρηματοοικονομικής και Ελεγκτικής Επιστήμης Εισηγητής :Λυγγίτσος Αλέξανδρος «Φορολογία εισοδήματος φυσικών προσώπων στην Ελλάδα» ΚΑΛΑΜΑΤΑ

Διαβάστε περισσότερα

Πρακτικό 6/2012 της συνεδρίασης της Επιτροπής Ποιότητας Ζωής, του Δήμου Λήμνου, της 4ης Μαΐου 2012.

Πρακτικό 6/2012 της συνεδρίασης της Επιτροπής Ποιότητας Ζωής, του Δήμου Λήμνου, της 4ης Μαΐου 2012. Πρακτικό 6/2012 της συνεδρίασης της Επιτροπής Ποιότητας Ζωής, του Δήμου Λήμνου, της 4ης Μαΐου 2012. Στη Μύρινα, σήμερα στις 4 του μήνα Μαΐου του έτους 2012, ημέρα Παρασκευή και ώρα 12:00 στο Δημοτικό Κατάστημα

Διαβάστε περισσότερα

ΕΦΗΜΕΡΙΣ ΤΗΣ ΚΥΒΕΡΝΗΣΕΩΣ

ΕΦΗΜΕΡΙΣ ΤΗΣ ΚΥΒΕΡΝΗΣΕΩΣ 15171 ΕΦΗΜΕΡΙΣ ΤΗΣ ΚΥΒΕΡΝΗΣΕΩΣ ΤΗΣ ΕΛΛΗΝΙΚΗΣ ΔΗΜΟΚΡΑΤΙΑΣ ΤΕΥΧΟΣ ΔΕΥΤΕΡΟ Αρ. Φύλλου 1096 21 Ιουλίου 2010 ΑΠΟΦΑΣΕΙΣ Αριθμ. 21219 Ετήσια Έκθεση του Ανωτάτου Συμβουλίου Επιλογής Προσωπικού για το έτος 2009.

Διαβάστε περισσότερα

Δικαιούχος: ΣΥΛΛΟΓΟΣ ΓΟΝΕΩΝ & ΚΗΔΕΜΟΝΩΝ ΑΤΟΜΩΝ ΜΕ ΑΝΑΠΗΡΙΑ Ν. ΦΘΙΩΤΙΔΑΣ

Δικαιούχος: ΣΥΛΛΟΓΟΣ ΓΟΝΕΩΝ & ΚΗΔΕΜΟΝΩΝ ΑΤΟΜΩΝ ΜΕ ΑΝΑΠΗΡΙΑ Ν. ΦΘΙΩΤΙΔΑΣ ΣΥΛΛΟΓΟΣ ΓΟΝΕΩΝ & ΚΗΔΕΜΟΝΩΝ ΑΤΟΜΩΝ ΜΕ ΑΝΑΠΗΡΙΑ Ν. ΦΘΙΩΤΙΔΑΣ Δικαιούχος: ΣΥΛΛΟΓΟΣ ΓΟΝΕΩΝ & ΚΗΔΕΜΟΝΩΝ ΑΤΟΜΩΝ ΜΕ ΑΝΑΠΗΡΙΑ Ν. ΦΘΙΩΤΙΔΑΣ Διεύθυνση: Λ. Ειρήνης 11Α Τηλέφωνο: 2231066623 Fax: 2231067709 Ηλεκτρονικό

Διαβάστε περισσότερα

ΔΗΜΟΣ ΘΑΣΟΥ ΦΑΚΕΛΛΟΣ ΑΣΦΑΛΕΙΑΣ ΚΑΙ ΥΓΕΙΑΣ

ΔΗΜΟΣ ΘΑΣΟΥ ΦΑΚΕΛΛΟΣ ΑΣΦΑΛΕΙΑΣ ΚΑΙ ΥΓΕΙΑΣ Ε Λ Λ Η Ν Ι Κ Η Δ Η Μ Ο Κ Ρ Α Τ Ι Α ΔΗΜΟΣ ΘΑΣΟΥ Διαμορφώσεις μικρής κλίμακας, κατασκευή παρατηρητηρίων και κέντρου ενημέρωσης επισκεπτών στην περιοχή Ατσπάς, Σκ. Μαριών ΦΑΚΕΛΛΟΣ ΑΣΦΑΛΕΙΑΣ ΚΑΙ ΥΓΕΙΑΣ Τ.Υ.

Διαβάστε περισσότερα

Επαρχιακός Γραμματέας Λ/κας-Αμ/στου ΠΟΑ Αγροτικής

Επαρχιακός Γραμματέας Λ/κας-Αμ/στου ΠΟΑ Αγροτικής Πρόεδρος Αίγλη Παντελάκη Γενική Διευθύντρια Υπουργείου Γεωργίας, Φυσικών Πόρων και Περιβάλλοντος Αντιπρόεδρος Χάρης Ζαννετής Πρώτος Λειτουργός Γεωργίας, Φυσικών Πόρων και Περιβάλλοντος Μέλη Χρίστος Κουρτελλάρης

Διαβάστε περισσότερα

ΕΚΤΕΛΕΣΤΙΚΗ ΕΠΙΤΡΟΠΗ ΦΙΛΕΛΛΗΝΩΝ & ΨΥΛΛΑ 2 105 57 ΑΘΗΝΑ Τηλ 213.16.16.900 Fax 2103246165 Email: adedy@adedy.gr, adedy1@adedy.gr

ΕΚΤΕΛΕΣΤΙΚΗ ΕΠΙΤΡΟΠΗ ΦΙΛΕΛΛΗΝΩΝ & ΨΥΛΛΑ 2 105 57 ΑΘΗΝΑ Τηλ 213.16.16.900 Fax 2103246165 Email: adedy@adedy.gr, adedy1@adedy.gr ΕΚΤΕΛΕΣΤΙΚΗ ΕΠΙΤΡΟΠΗ ΦΙΛΕΛΛΗΝΩΝ & ΨΥΛΛΑ 2 105 57 ΑΘΗΝΑ Τηλ 213.16.16.900 Fax 2103246165 Email: adedy@adedy.gr, adedy1@adedy.gr Τοποθέτηση στη Βουλή της Αντιπροέδρου της Α..Ε..Υ., έσποινας Σπανού για το

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΘΕΣΣΑΛΙΑΣ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΠΡΑΚΤΙΚΟ 1 ο /13-1-2015 ΑΠΟΦΑΣΗ 24/2015

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΘΕΣΣΑΛΙΑΣ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΠΡΑΚΤΙΚΟ 1 ο /13-1-2015 ΑΠΟΦΑΣΗ 24/2015 ΑΝΑΡΤΗΤΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ ΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΡΙΦΡΙΑ ΘΣΣΑΛΙΑΣ ΟΙΚΟΝΟΜΙΚΗ ΠΙΤΡΟΠΗ ΠΡΑΚΤΙΚΟ 1 ο /13-1-2015 ΑΠΟΦΑΣΗ 24/2015 ΘΜΑ: 25 ο Προγραμματισμός μετακινήσεων εκτός έδρας των υπαλλήλων της Υπηρεσίας για

Διαβάστε περισσότερα

Όμιλος Λογοτεχνίας. Δράκογλου Αναστασία, Κιννά Πασχαλίνα

Όμιλος Λογοτεχνίας. Δράκογλου Αναστασία, Κιννά Πασχαλίνα Όμιλος Λογοτεχνίας Δράκογλου Αναστασία, Κιννά Πασχαλίνα Πρότυπο Πειραματικό Δημοτικό Σχολείο Σερρών «Κων/νος Καραμανλής» Δράκογλου Αναστασία, adrakogl@yahoo.gr Κιννά Πασχαλίνα, kinpash@yahoo.gr ΠΕΡΙΛΗΨΗ

Διαβάστε περισσότερα

ΑΔΑ: Β4ΩΣ7ΛΡ-876 ΑΝΑΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΘΕΣΣΑΛΙΑΣ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΠΡΑΚΤΙΚΟ 10 ο /10-4-2012 ΑΠΟΦΑΣΗ 286/2012

ΑΔΑ: Β4ΩΣ7ΛΡ-876 ΑΝΑΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΘΕΣΣΑΛΙΑΣ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΠΡΑΚΤΙΚΟ 10 ο /10-4-2012 ΑΠΟΦΑΣΗ 286/2012 ΑΝΑΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΕΡΙΦΕΡΕΙΑ ΘΕΣΣΑΛΙΑΣ ΟΙΚΟΝΟΜΙΚΗ ΕΠΙΤΡΟΠΗ ΠΡΑΚΤΙΚΟ 10 ο /10-4-2012 ΑΠΟΦΑΣΗ 286/2012 ΘΕΜΑ: 19 ο Ορισμός δικηγόρου για παράσταση στο Μονομελές Πρωτοδικείο Καρδίτσας.

Διαβάστε περισσότερα

Το συνέδριο σας πραγματοποιείται σε μια εξαιρετικά δύσκολη συγκυρία για τον τόπο, την οικονομία της χώρας, την κοινωνία και τον κόσμο της εργασίας.

Το συνέδριο σας πραγματοποιείται σε μια εξαιρετικά δύσκολη συγκυρία για τον τόπο, την οικονομία της χώρας, την κοινωνία και τον κόσμο της εργασίας. ΧΑΙΡΕΤΙΣΜΟΣ του ΘΕΜΙΣΤΟΚΛΗ ΜΠΑΛΑΣΟΠΟΥΛΟΥ ΠΡΟΕΔΡΟΥ της ΕΚΤΕΛΕΣΤΙΚΗΣ ΕΠΙΤΡΟΠΗΣ της Π.Ο.Ε.-Ο.Τ.Α. στο ΤΑΚΤΙΚΟ ΣΥΝΕΔΡΙΟ της Κ.Ε.Δ.Ε. ΚΟΜΟΤΗΝΗ ΠΑΡΑΣΚΕΥΗ 27 ΙΑΝΟΥΑΡΙΟΥ 2012 Αγαπητοί Φίλοι, Θέλω εκ μέρους των

Διαβάστε περισσότερα

ΤΕΤΑΡΤΗ ΕΞΑΜΗΝΙΑΙΑ ΕΚΘΕΣΗ ΠΕΡΙΒΑΛΛΟΝΤΙΚΗΣ ΔΙΑΧΕΙΡΙΣΗΣ 01.01-30.06.2010

ΤΕΤΑΡΤΗ ΕΞΑΜΗΝΙΑΙΑ ΕΚΘΕΣΗ ΠΕΡΙΒΑΛΛΟΝΤΙΚΗΣ ΔΙΑΧΕΙΡΙΣΗΣ 01.01-30.06.2010 Μελέτη, Κατασκευή, Χρηματοδότηση, Λειτουργία, Συντήρηση και Εκμετάλλευση του Αυτοκινητόδρομου «Ελευσίνα Κόρινθος Πάτρα Πύργος Τσακώνα» ΤΕΤΑΡΤΗ ΕΞΑΜΗΝΙΑΙΑ ΕΚΘΕΣΗ ΠΕΡΙΒΑΛΛΟΝΤΙΚΗΣ ΔΙΑΧΕΙΡΙΣΗΣ (σύμφωνα με

Διαβάστε περισσότερα

Ο αναλφαβητισμός ως σύγχρονο πρόβλημα

Ο αναλφαβητισμός ως σύγχρονο πρόβλημα ΚΕΙΜΕΝΟ 1 ο Ο αναλφαβητισμός ως σύγχρονο πρόβλημα 1 Ο σύγχρονος πολιτισμός, παρ όλη τη διάδοση της εικόνας ως μέσου έκφρασης και πληροφόρησης, παραμένει γραπτός πολιτισμός. Ιδιαίτερα οι νέες τεχνολογίες

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 7 ΣΥΜΠΕΡΑΣΜΑΤΑ ΚΑΙ ΠΡΟΤΑΣΕΙΣ

ΚΕΦΑΛΑΙΟ 7 ΣΥΜΠΕΡΑΣΜΑΤΑ ΚΑΙ ΠΡΟΤΑΣΕΙΣ ΚΕΦΑΛΑΙΟ 7 ΣΥΜΠΕΡΑΣΜΑΤΑ ΚΑΙ ΠΡΟΤΑΣΕΙΣ Με βάση το στόχο της εργασίας που ήταν να εντοπιστούν και να παρουσιαστούν οι ποσοτικές (διαφορές βαθµολογικής απόδοσης) και οι ποιοτικές διαφορές (που αφορούν στην

Διαβάστε περισσότερα

ΙΕΘΝΗΣ ΣΥΜΒΑΣΗ ΕΡΓΑΣΙΑΣ 183 «για την αναθεώρηση της (αναθεωρηµένης) σύµβασης για την προστασία της µητρότητας,»

ΙΕΘΝΗΣ ΣΥΜΒΑΣΗ ΕΡΓΑΣΙΑΣ 183 «για την αναθεώρηση της (αναθεωρηµένης) σύµβασης για την προστασία της µητρότητας,» ΙΕΘΝΗΣ ΣΥΜΒΑΣΗ ΕΡΓΑΣΙΑΣ 183 «για την αναθεώρηση της (αναθεωρηµένης) σύµβασης για την προστασία της µητρότητας,» Η γενική Συνδιάσκεψη της ιεθνούς Οργάνωσης Εργασίας, που συγκλήθηκε στη Γενεύη από το ιοικητικό

Διαβάστε περισσότερα

Από το ξεκίνημά του ο ΤΙΤΑΝ εκφράζει

Από το ξεκίνημά του ο ΤΙΤΑΝ εκφράζει Ένας Τιτανικός θεσμός επιβράβευσης επιτυχιών νέων ανθρώπων Από το ξεκίνημά του ο ΤΙΤΑΝ εκφράζει έμπρακτα και πολύπλευρα το ενδιαφέρον του για τους νέους ανθρώπους, ιδιαίτερα δε για τα παιδιά, κάθε ηλικίας,

Διαβάστε περισσότερα

ΔΙΑΚΗΡΥΞΗ ΠΡΟΧΕΙΡΟΥ ΔΙΑΓΩΝΙΣΜΟΥ

ΔΙΑΚΗΡΥΞΗ ΠΡΟΧΕΙΡΟΥ ΔΙΑΓΩΝΙΣΜΟΥ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΦΩΚΙΔΑΣ ΔΗΜΟΣ ΔΕΛΦΩΝ ΠΡΟΜΗΘΕΙΑ: ΠΡΟΜΗΘΕΙΑ-ΤΟΠΟΘΕΤΗΣΗ ΞΥΛΙΝΟΥ ΔΑΠΕΔΟΥ ΣΤΟ ΚΛΕΙΣΤΟ ΓΥΜΝΑΣΤΗΡΙΟ ΙΤΕΑΣ Αριθ. Πρωτ. 19.622 ΔΙΑΚΗΡΥΞΗ ΠΡΟΧΕΙΡΟΥ ΔΙΑΓΩΝΙΣΜΟΥ O Αντιδήμαρχος Δελφών Έχοντας

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΟ ΚΕΝΤΡΟ ΠΡΟΑΓΩΓΗΣ ΚΟΙΝΩΝΙΚΗΣ ΚΑΙ ΨΥΧΙΚΗΣ ΥΓΕΙΑΣ ΠΟΡΕΙΑ Α.Μ.Κ.Ε

ΕΛΛΗΝΙΚΟ ΚΕΝΤΡΟ ΠΡΟΑΓΩΓΗΣ ΚΟΙΝΩΝΙΚΗΣ ΚΑΙ ΨΥΧΙΚΗΣ ΥΓΕΙΑΣ ΠΟΡΕΙΑ Α.Μ.Κ.Ε Το ΕΛΛΗΝΙΚΟ ΚΕΝΤΡΟ ΠΡΟΑΓΩΓΗΣ ΚΟΙΝΩΝΙΚΗΣ ΚΑΙ ΨΥΧΙΚΗΣ ΥΓΕΙΑΣ ΠΟΡΕΙΑ Α.Μ.Κ.Ε. είναι μια Αστική Μη Κερδοσκοπική Εταιρεία με σκοπούς κοινωφελείς, που ιδρύθηκε την 1 η Μαρτίου 2002 και έχει έδρα την Αθήνα. Διοικείται

Διαβάστε περισσότερα

ΕΞ.ΕΠΕΙΓΟΝ -FAX. Αθήνα, 15 Οκτωβρίου 2014

ΕΞ.ΕΠΕΙΓΟΝ -FAX. Αθήνα, 15 Οκτωβρίου 2014 ΕΞ.ΕΠΕΙΓΟΝ -FAX ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΥΠΟΥΡΓΕΙΟ ΟΙΚΟΝΟΜΙΚΩΝ ΓΕΝΙΚΗ ΓΡΑΜΜΑΤΕΙΑ ΔΗΜΟΣΙΩΝ ΕΣΟΔΩΝ ΓΕΝΙΚΗ Δ/ΝΣΗ ΦΟΡΟΛΟΓΙΚΗΣ ΔΙΟΙΚΗΣΗΣ ΔΙΕΥΘΥΝΣΗ ΕΛΕΓΧΩΝ ΤMHMA Α Αθήνα, 15 Οκτωβρίου 2014 ΠΟΛ.:1228 ΠΡΟΣ: Ως Π.Δ.

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΦΛΩΡΙΝΑΣ ΔΗΜΟΣ ΑΜΥΝΤΑΙΟΥ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΦΛΩΡΙΝΑΣ ΔΗΜΟΣ ΑΜΥΝΤΑΙΟΥ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΦΛΩΡΙΝΑΣ ΔΗΜΟΣ ΑΜΥΝΤΑΙΟΥ ΑΠΟΣΠΑΣΜΑ Από το πρακτικό συνεδριάσεων 21/2012 του δημοτικό συμβουλίου Δήμου Αμυνταίου. Θέμα: Τροποποίηση τεχνικού προγράμματος και προϋπολογισμού του

Διαβάστε περισσότερα

ΥΓΙΕΙΝΗ ΚΑΙ ΑΣΦΑΛΕΙΑ ΣΤΟ ΕΡΓΟΣΤΑΣΙΟ. Εκτίμηση επικινδυνότητας, μέτρα ατομικής προστασίας και σήμανση

ΥΓΙΕΙΝΗ ΚΑΙ ΑΣΦΑΛΕΙΑ ΣΤΟ ΕΡΓΟΣΤΑΣΙΟ. Εκτίμηση επικινδυνότητας, μέτρα ατομικής προστασίας και σήμανση ΥΓΙΕΙΝΗ ΚΑΙ ΑΣΦΑΛΕΙΑ ΣΤΟ ΕΡΓΟΣΤΑΣΙΟ Εκτίμηση επικινδυνότητας, μέτρα ατομικής προστασίας και σήμανση Γεωργίου Ιωσήφ (1) Βαλανίδης Αντρέας (2), Δημοσθένους Αθανάσιος (2) Απέργη Παναγιώτα (3), (1) Επιστημονικός

Διαβάστε περισσότερα