Ψηφιακά Συστήματα. Ενότητα: Ψηφιακά Συστήματα. Δρ. Κοντογιάννης Σωτήρης Τμήμα Διοίκησης Επιχειρήσεων (Γρεβενά)

Σχετικά έγγραφα
Α. ΟΡΓΑΝΑ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΕΦΑΡΜΟΓΗΣ

ΑΠΑΝΤΗΣΕΙΣ ΣΤΟ ΔΙΔΑΓΜΕΝΟ ΚΕΙΜΕΝΟ

ΝΕΑ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΑ ΠΡΟΪΟΝΤΑ- ΕΦΑΡΜΟΓΗ ΣΕ ΑΣΦΑΛΙΣΤΙΚΗ ΕΠΙΧΕΙΡΗΣΗ

«Φιλολογικό» Φροντιστήριο Επαναληπτικό διαγώνισμα στη Νεοελληνική Γλώσσα. Ενδεικτικές απαντήσεις. Περιθωριοποίηση μαθητών από μαθητές!

Η ΑΥΤΕΠΑΓΓΕΛΤΗ ΑΝΑΖΗΤΗΣΗ ΔΙΚΑΙΟΛΟΓΗΤΙΚΩΝ ΜΙΑ ΚΡΙΤΙΚΗ ΑΠΟΤΙΜΗΣΗ. ( Διοικητική Ενημέρωση, τ.51, Οκτώβριος Νοέμβριος Δεκέμβριος 2009)

Επίσηµη Εφηµερίδα αριθ. C 372 της 09/12/1997 σ

Εσωτερικοί Κανονισμοί Τοπικής Αυτοδιοίκησης

ΔΙΑΔΟΣΗ ΘΕΡΜΟΤΗΤΑΣ Φυσική Β' Γυμνασίου. Επιμέλεια: Ιωάννης Γιαμνιαδάκης

ΣΧΕΔΙΟ ΠΡΟΤΑΣΕΩΝ ΣΥΛΛΟΓΟΥ ΓΟΝΕΩΝ & ΚΗΔΕΜΟΝΩΝ ΕΠΙ ΤΟΥ ΠΡΟΣΧΕΔΙΟΥ ΤΟΥ ΕΣΩΤΕΡΙΚΟΥ ΚΑΝΟΝΙΣΜΟΥ ΛΕΙΤΟΥΡΓΙΑΣ ΤΟΥ 1 ου ΓΥΜΝΑΣΙΟΥ ΜΑΡΚΟΠΟΥΛΟΥ ΣΗΜΕΙΩΣΗ

ΠΡΟΟΙΜΙΟ ΑΝΑΤΡΟΠΗ ΤΟΥ ΠΟΛΙΤΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΚΑΙ ΤΩΝ ΚΑΤΕΣΤΗΜΕΝΩΝ

ΣΥΝΕΝΤΕΥΞΗ ΤΥΠΟΥ. Η ολοκληρωμένη προσέγγιση θα εφαρμοστεί με τα παρακάτω Εργαλεία

ΕΝΟΤΗΤΑ 4. ΚΑΝΟΝΙΣΜΟΣ ΜΕΤΑΛΛΕΥΤΙΚΩΝ ΚΑΙ ΛΑΤΟΜΙΚΩΝ ΕΡΓΑΣΙΩΝ, ΚΜΛΕ

ΠΡΟΪΟΝΤΩΝ» Ποσοστό στη.. του Μέτρου. Ποσό (σε ΕΥΡΩ)

Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν

ΙΕΘΝΗΣ ΣΥΜΒΑΣΗ ΕΡΓΑΣΙΑΣ 183 «για την αναθεώρηση της (αναθεωρηµένης) σύµβασης για την προστασία της µητρότητας,»

ΕΘΙΜΑ ΤΟΥ ΚΟΣΜΟΥ. Αγγελική Περιστέρη Α 2

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΥΠΟΥΡΓΕΙΟ ΠΑΡΑΓΩΓΙΚΗΣ ΑΝΑΣΥΓΚΡΟΤΗΣΗΣ, ΠΕΡΙΒΑΛΛΟΝΤΟΣ & ΕΝΕΡΓΕΙΑΣ

ΚΕΦΑΛΑΙΟ 7 ΣΥΜΠΕΡΑΣΜΑΤΑ ΚΑΙ ΠΡΟΤΑΣΕΙΣ

...ακολουθώντας τη ροή... ένα ημερολόγιο εμψύχωσης

KATATAΞH APΘPΩN. 6. Αρχές της προσφοράς και προμήθειας, ανθρώπινων ιστών και/ ή κυττάρων

Ο ΠΡΟΕΔΡΟΣ ΤΗΣ ΒΟΥΛΗΣ ΤΩΝ ΕΛΛΗΝΩΝ. Άρθρο πρώτο.

1 Επιμέλεια: Γράβαλος Βασίλειος, Χρυσανθάκης Ιωάννης

ΠΟΛΗ ΚΑΙ ΧΩΡΟΣ ΑΠΟ ΤΟΝ 20 Ο ΣΤΟΝ 21 Ο ΑΙΩΝΑ

ΕΠΙΧΕΙΡΗΜΑΤΟΛΟΓΩ ΥΠΕΡ Η ΚΑΤΑ ΤΗΣ ΧΡΗΣΗΣ ΤΩΝ ΣΥΜΒΑΤΙΚΩΝ ΤΖΑΚΙΩΝ ΚΑΙ ΤΗΣ ΚΑΥΣΗΣ ΞΥΛΕΙΑΣ ΓΙΑ ΟΙΚΙΑΚΉ ΘΕΡΜΑΝΣΗ

Αξιολόγηση Προγράμματος Αλφαβητισμού στο Γυμνάσιο Τρίτο Έτος Αξιολόγησης

Η παρακμή του εργατικού κινήματος είναι μια διαδικασία που έχει ήδη διαρκέσει. πολλά χρόνια, τώρα ζούμε τα επεισόδια του τέλους της.

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ

ΑΠΟΣΠΑΣΜΑ. Από τα πρακτικά της με αριθμό 13ης/2013, συνεδρίασης του Περιφερειακού Συμβουλίου την Τρίτη 9 Ιουλίου 2013 στην Κέρκυρα με τηλεδιάσκεψη.

ΒΑΣΙΚΗ ΕΚΠΑΙΔΕΥΣΗ. Οδηγός Οργάνωσης και Λειτουργίας ΕΚΔΟΣΗ 1.0

ΠΕΡΙΕΧΟΜΕΝΑ ΕΙΣΑΓΩΓΙΚΟ ΣΗΜΕΙΩΜΑ 13 Α' ΜΕΡΟΣ ΑΠΟ ΤΟΝ ΠΟΛΕΜΟ ΤΟΥ 1897 ΣΤΟ ΓΟΥΔΙ

Ιστορία του Αραβοϊσλαμικού Πολιτισμού

03-00: Βιομάζα για παραγωγή ενέργειας Γενικά ζητήματα εφοδιαστικών αλυσίδων

Α. Πολιτιστικοί φορείς Πλήθος φορέων Έδρα Γεωγραφική κατανομή φορέων Νομική μορφή Έτος ίδρυσης...

Σε ποιες κατηγορίες μειώνεται η σύνταξη από 1/1/2009 (σε εφαρμογή του Ν.3655/2008)

ΥΠΟΥΡΓΕΙΟ ΠΑΙ ΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ

ΠΑΝΕΛΛΑΔΙΚΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΗΜΕΡΗΣΙΟΥ ΓΕΝΙΚΟΥ ΛΥΚΕΙΟΥ & ΠΑΝΕΛΛΗΝΙΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΗΜΕΡΗΣΙΟΥ ΕΠΑΛ (ΟΜΑΔΑ Β )

Ανάλυση Χρηματοοικονομικών Καταστάσεων

ΑΠΑΝΤΗΣΕΙΣ ΣΤΟ ΙΑΓΩΝΙΣΜΑ ΝΕΟΕΛΛΗΝΙΚΗΣ ΛΟΓΟΤΕΧΝΙΑΣ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Γ ΛΥΚΕΙΟΥ

Οι 21 όροι του Λένιν

ΤΑ ΕΠΙΠΕΔΑ ΟΡΓΑΝΩΣΗΣ ΤΩΝ ΠΟΛΥΚΥΤΤΑΡΩΝ ΟΡΓΑΝΣΙΜΩΝ ΟΙ ΖΩΙΚΟΙ ΙΣΤΟΙ 2 ο ΦΥΛΛΟ ΕΡΓΑΣΙΑΣ

Πρόγραμμα Σπουδών για το "Νέο Σχολείο"

Υποψήφιοι Σχολικοί Σύμβουλοι

στήλη υγιεινολόγων στόματος

Επίσηµη Εφηµερίδα της Ευρωπαϊκής Ένωσης. (Νομοθετικές πράξεις) ΑΠΟΦΑΣΕΙΣ

ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΠΑΡΑΔΟΤΕΟ ΕΘΝΙΚΟΥ ΜΗΧΑΝΙΣΜΟΥ

Ηγουμενίτσα, 27/02/2015

Δαλιάνη Δήμητρα Λίζας Δημήτρης Μπακομήτρου Ελευθερία Ντουφεξιάδης Βαγγέλης

ΕΝΔΕΙΚΤΙΚΕΣ ΑΠΑΝΤΗΣΕΙΣ ΘΕΜΑΤΩΝ ΝΕΟΕΛΛΗΝΙΚΗΣ ΓΛΩΣΣΑΣ A1. Ο συγγραφέας ορίζει το φαινόμενο του ανθρωπισμού στη σύγχρονη εποχή. Αρχικά προσδιορίζει την

Όταν το μάθημα της πληροφορικής γίνεται ανθρωποκεντρικό μπορεί να αφορά και την εφηβεία.

ΚΟΡΙΝΘΟΥ 255, ΚΑΝΑΚΑΡΗ 101 ΤΗΛ , , FAX

Επίσηµη Εφηµερίδα της Ευρωπαϊκής Ένωσης

Ομιλία του ημάρχου Αμαρουσίου, Προέδρου Ιατρικού Συλλόγου Αθηνών. Γιώργου Πατούλη, στην εκδήλωση μνήμης στον Ιωάννη Πασαλίδη

ΕΙΣΗΓΗΣΗ. επί της 5ης Αναμόρφωσης του προϋπολογισμού της Περιφέρειας Ιονίων Νήσων, για το οικονομικό έτος 2014

Για την ομοιόμορφη εφαρμογή των διατάξεων της ανωτέρω απόφασης, παρέχονται οι ακόλουθες οδηγίες και διευκρινίσεις:

Τοποθέτηση Δημάρχου Γ. Πατούλη. για τεχνικό πρόγραμμα 2010

ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ

Σκοπός του παιχνιδιού. Περιεχόμενα

ΑΠΑΝΤΗΣΕΙΣ ΣΤΟ ΔΙΑΓΩΝΙΣΜΑ ΝΕΟΕΛΛΗΝΙΚΗΣ ΓΛΩΣΣΑΣ. Α. Να αποδώσετε την περίληψη του κειμένου ( λέξεις)

ΥΠΟΔΕΙΓΜΑΤΑ ΨΗΦΟΔΕΛΤΙΩΝ ΠΕΡΙΦΕΡΕΙΑΚΩΝ ΕΚΛΟΓΩΝ

ΣΥΝΘΗΚΗ SCHENGEN (ΣΕΝΓΚΕΝ)

Δημοτικό Σχολείο Κορινού Α2 Β1 Τάξεις. Υπεύθυνες Προγράμματος: Κατσιγιάννη Κωνσταντία, Σιάσιου Αθηνά

ΠΑΙΔΑΓΩΓΙΚΗ ΣΧΟΛΗ (ΦΛΩΡΙΝΑ) ΤΜΗΜΑ ΝΗΠΙΑΓΩΓΩΝ ΕΡΓΑΣΤΗΡΙΟ ΔΙΔΑΚΤΙΚΗΣ ΦΥΣΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΠΑΡΑΓΩΓΗΣ ΔΙΔΑΚΤΙΚΟΥ ΥΛΙΚΟΥ

ΔΕΛΤΙΟ ΤΥΠΟΥ. Ελλείψεις στο φορολογικό νομοσχέδιο. Σοβαρές ελλείψεις στη νέα μορφή του φορολογικού νομοσχεδίου

Σοφία Γιουρούκου, Ψυχολόγος Συνθετική Ψυχοθεραπεύτρια

Δράση 1.2. Υλοτομία και προσδιορισμός ποσοτήτων υπολειμμάτων.

ΗΛΙΟΠΡΟΣΤΑΣΙΑ ΑΥΤΟΣΚΙΑΣΜΟΣ ΤΟΥ ΚΤΗΡΙΑΚΟΥ ΚΕΛΥΦΟΥΣ

Ε Κ Θ Ε Σ Η. του Διοικητικού Συμβουλίου της Ανωνύμου Εταιρίας με την επωνυμία. «Unibios Ανώνυμος Εταιρία Συμμετοχών»

ΣΥΜΒΟΛΗ ΤΩΝ ΝΕΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΣΤΗΝ ΚΑΤΑΡΤΙΣΗ ΚΑΙ ΕΚΠΑΙΔΕΥΣΗ ΤΟΥ ΠΡΟΣΩΠΙΚΟΥ ΜΙΑΣ ΣΥΓΧΡΟΝΗΣ ΕΠΙΧΕΙΡΗΣΗΣ

Ασυντήρητες και επικίνδυνες οικοδομές

Αρ. Εγκ.: 52 ΘΕΜΑ: Ορισμός των διοικητικών συμβουλίων των νομικών προσώπων και συνδέσμων των Δήμων

Σεμινάριο με θέμα : Εθελοντισμός & Δικαιώματα Παιδιού

επείγοντος για την κατανοµή των βαρών της υποδοχής και προσωρινής διαµονής των µετακινουµένων ατόµων ( 6 ). Έχοντας υπόψη:

Παραβατικότητα Ανηλίκων και Πρόγραμμα Κυκλοφοριακής Αγωγής «ΕΡΜΗΣ» ΥΠΗΡΕΣΙΑ ΕΠΙΜΕΛΗΤΩΝ ΑΝΗΛΙΚΩΝ ΔΙΚΑΣΤΗΡΙΟΥ ΑΝΗΛΙΚΩΝ ΑΘΗΝΑΣ

Τεχνική και ενεργειακή νομοθεσία

Πρακτικό εργαλείο. για την ταυτοποίηση πρώτου επιπέδου των θυμάτων παράνομης διακίνησης και εμπορίας. τη σεξουαλική εκμετάλλευση

Ανάλυση Χρηματοοικονομικών Καταστάσεων Ενότητα 3: Ανάλυση Χρηματοοικονομικών Καταστάσεων (3/4)

ΕΚΦΡΑΣΗ-ΕΚΘΕΣΗ Β ΛΥΚΕΙΟΥ 1 ο Λύκειο Καισαριανής ΕΠΑΓΓΕΛΜΑ: Κείμενα Προβληματισμού

ΕΠΙΧΕΙΡΗΣΙΑΚΌ ΠΡΌΓΡΑΜΜΑ ΔΉΜΟΥ ΗΓΟΥΜΕΝΊΤΣΑΣ ΓΙΑ ΤΗΝ ΠΕΡΊΟΔΟ

ΦΑΡΜΑΚΕΥΤΙΚΟΣ ΣΥΛΛΟΓΟΣ ΜΑΓΝΗΣΙΑΣ & ΑΛΜΥΡΟΥ Ν.Π.Δ.Δ Νόμος 3601 Ελευθ. Βενιζέλου 7 Τηλ ΒΟΛΟΣ ΕΓΚΥΚΛΙΟΣ ΜΑΪΟΥ 2010

Βασικά σημεία διάλεξης

Συνοπτική Παρουσίαση. Ελλάδα

ΥΠ.Ε.Π.Θ. / ΠΑΙ ΑΓΩΓΙΚΟ ΙΝΣΤΙΤΟΥΤΟ ΕΠΙΧΕΙΡΗΣΙΑΚΟ ΠΡΟΓΡΑΜΜΑ «ΚΟΙΝΩΝΙΑ ΤΗΣ ΠΛΗΡΟΦΟΡΙΑΣ»

ΔΕΛΤΙΟ ΤΥΠΟΥ. Ακολουθεί ολόκληρη η τοποθέτηση - παρέμβαση του Υπουργού Δ.Μ.&Η.Δ.

ΧΡΙΣΤΟΣ ΑΠ. ΛΑΔΙΑΣ

Το σχέδιο έχει ως βάση ένα ενιαίο σύστημα κλειστών αγωγών το οποίο εκτείνεται

Ο ΠΡΟΕ ΡΟΣ ΤΗΣ ΕΛΛΗΝΙΚΗΣ ΗΜΟΚΡΑΤΙΑΣ Εκδίδοµε τον ακόλουθο νόµο που ψήφισε η Βουλή:

ΔΗΜΟΣ ΔΙΟΝΥΣΟΥ ΚΑΝΟΝΙΣΜΟΣ ΥΔΡΕΥΣΗΣ

ΚΑΝΟΝΙΣΜΟΣ ΠΡΟΣΤΑΣΙΑΣ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΚΑΙ ΚΑΘΑΡΙΟΤΗΤΑΣ ΔΗΜΟΥ ΔΙΟΝΥΣΟΥ ΝΟΜΟΥ ΑΤΤΙΚΗΣ

Βρήκαμε πολλά φυτά στο δάσος, αλλά και ήλιο, νερό, αέρα, έδαφος!

ΝΕΑ ΕΛΛΗΝΙΚΗ ΓΛΩΣΣΑ. [Νέοι και πρότυπα ψυχαγωγίας]

ΘΕΜΑ: «Διοργάνωση επιμορφωτικής ημερίδας»

ΤΕΥΧΟΣ ΠΡΟΚΗΡΥΞΗΣ αριθμ /605/ ΔΗΜΟΣΙΟΥ ΑΝΟΙΚΤΟΥ ΔΙΑΓΩΝΙΣΜΟΥ ΓΙΑ ΤΗΝ ΑΝΑΚΗΡΥΞΗ ΑΝΑΔΟΧΟΥ ΓΙΑ ΤΗΝ ΥΛΟΠΟΙΗΣΗ ΤΟΥ ΕΡΓΟΥ

ΝΟΜΟΘΕΣΙΑ ΠΡΟΫΠΟΘΕΣΕΙΣ ΕΜΠΟΡΙΑΣ ΠΟΛΛΑΠΛΑΣΙΑΣΤΙΚΟΥ ΥΛΙΚΟΥ & ΛΙΠΑΣΜΑΤΩΝ

Bυζαντινοί Ιστορικοί και Χρονογράφοι Ενότητα 3: Ιστοριογραφία Πρωτοβυζαντινής περιόδου Εκκλησιαστική ιστορία. Σωκράτης ο Σχολαστικός: Βίος και Έργο

ΣΤΑΘΜΟΙ ΤΟΥ ΜΕΤΡΟ - - ΑΤΤΙΚΗ - ΣΕΠΟΛΙΑ - ΑΓ. ΑΝΤΩΝΙΟΣ - - ΠΟΛΕΟΔΟΜΙΚΗ ΑΝΑΛΥΣΗ - ΕΠΙΠΤΩΣΕΙΣ

Ο τίτλος της εργασία μας για αυτό το τετράμηνο ήταν «Πολίτες της πόλης μου, πολίτες της οικουμένης». Κλιθήκαμε λοιπόν να γνωρίσουμε καλύτερα την πόλη

Ευρετήριο πινάκων. Ασκήσεις και υπομνήματα

1. ΠΡΟΓΡΑΜΜΑ ΠΑΝΕΛΛΑΔΙΚΩΝ ΕΞΕΤΑΣΕΩΝ 2013 ΗΜΕΡΗΣΙΩΝ ΚΑΙ ΕΣΠΕΡΙΝΩΝ ΓΕΝΙΚΩΝ ΛΥΚΕΙΩΝ (ΓΕΛ)

ΕΘΝΙΚΟ ΠΛΑΙΣΙΟ ΠΕΡΙΒΑΛΛΟΝΤΙΚΩΝ ΔΡΑΣΕΩΝ

ΘΕΜΑΤΑ ΚΑΝΟΝΙΣΜΩΝ ΒΙΒΛΙΟ ΠΕΡΙΠΤΩΣΕΩΝ ΠΕΡΙΕΧΟΜΕΝΑ

Transcript:

Ψηφιακά Συστήματα Ενότητα: Ψηφιακά Συστήματα Δρ. Κοντογιάννης Σωτήρης Τμήμα Διοίκησης Επιχειρήσεων (Γρεβενά)

Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άλλου τύπου άδειας χρήσης, η άδεια χρήσης αναφέρεται ρητώς. 2

Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ακαδημαϊκά Μαθήματα στο TEI Δυτικής Μακεδονίας και στην Ανώτατη Εκκλησιαστική Ακαδημία Θεσσαλονίκης» έχει χρηματοδοτήσει μόνο τη αναδιαμόρφωση του εκπαιδευτικού υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους. 3

Σκοποί Ενότητας Σκοπός της θεματικής ενότητας είναι η εισαγωγή του/της φοιτητή/τριας στο υλικό (hardware) των υπολογιστικών συστημάτων. Συγκεκριμένα, αρχίζοντας από τις βασικές έννοιες της δυαδικής λογικής και των λογικών κυκλωμάτων γνωρίζουμε τις θεμελιώδεις δομικές μονάδες των ψηφιακών συστημάτων. Μαθαίνουμε να αναλύουμε και να σχεδιάζουμε τόσο συνδυαστικά όσο και ακολουθιακά κυκλώματα. Τα κυκλώματα αυτά αποτελούν τα βασικά δομικά στοιχεία κάθε υπολογιστικού συστήματος. 4

Περιεχόμενα (1/2) Αριθμητικά συστήματα και μετατροπές ανάμεσα στα συστήματα αρίθμησης Αριθμητικές πράξεις και συμπληρώματα αριθμών Αναπαράσταση προσημασμένων δυαδικών αριθμών και δυαδικοί κώδικες Άλγεβρα Boole αξιώματα, θεωρήματα και λογικές συναρτήσεις Λογικές πύλες και διασύνδεση λογικών πυλών Οικογένειες πυλών TTL και CMOS Ελαχιστοποίηση λογικών συναρτήσεων - Χάρτες Karnaugh 5

Περιεχόμενα (2/2) Συνδυαστικά κυκλώματα και επίλυση συνδυαστικών κυκλωμάτων Κωδικοποιητές/αποκωδικοποιητές - πολυπλέκτες/αποπολυπλέκτες Ημιαθροιστές/Ημιαφαιρέτες-πλήρης αθροιστής/αφαιρέτης Συνδιαστικά κυκλώματα - Μανδαλωτές Σχεδίαση συνδυαστικών κυκλωμάτων μηχανές Μealey και Moore 6

Συστήματα αριθμών Δεκαδικό σύστημα n n ( 10 10-1... 10 1 10 0 10-1 n n n... n10 - - - - ) D = d + d + d + d + d + d 10 1 1 0 1 Παράδειγμα 7

Δυαδικό σύστημα Στο δυαδικό σύστημα, που έχει βάση το 2, υπάρχουν δύο ψηφία, το 0 και το 1: B = b 2 + b 2 +... b 2 + b 2 + b 2 +... b 2 n n - 1 1 0-1 - m 2 n n- 1 1 0-1 - m Παράδειγμα: Γενικά ένας δυαδικός αριθμός με n ψηφία μπορεί να παραστήσει ένα εύρος από 2 n δεκαδικούς αριθμούς 2 ψηφία (0_3), 5 ψηφία (0_31), 8 ψηφία (0_255) 8

Μετατροπή δεκαδικού σε δυαδικό (1/2) Μετατροπή ενός ακέραιου δεκαδικού σε δυαδικό: Χρησιμοποιείται η διαδικασία της διαδοχικής διαίρεσης Παράδειγμα: Μετατροπή του 19 10 στον αντίστοιχο δυαδικό 19/2= πηλίκο 9 και υπόλοιπο 1 άρα b 0 =1 9/2= πηλίκο 4 και υπόλοιπο 1 άρα b 1 =1 4/2= πηλίκο 2 και υπόλοιπο 0 άρα b 2 =0 2/2= πηλίκο 1 και υπόλοιπο 0 άρα b 3 =0 1/2= πηλίκο 0 και υπόλοιπο 1 άρα b 4 =1 Β 2 =10011=19 10 9

Μετατροπή του κλασματικού μέρους ενός δεκαδικού αριθμού στον αντίστοιχο δυαδικό (χρησιμοποιείται η διαδικασία των διαδοχικών πολλαπλασιασμών. Επαναλαμβάνεται η διαδικασία μέχρι να προκύψει κλασματικό μέρος μηδέν ή να επιτευχθεί η επιθυμητή ακρίβεια). Παράδειγμα: Μετατροπή δεκαδικού σε Μετατροπή του 0,375 στον αντίστοιχο δυαδικό 0,375 x 2 = 0,75, ακέραιο μέρος 0, κλασματικό 0,75 b -1 =0 0,75 x 2 = 1,5, ακέραιο μέρος 1, κλασματικό 0,5 b -2 =1 0,5 x 2 = 1,0, ακέραιο μέρος 1, κλασματικό 0 b -2 =1 Β 2 = :,011 2 Μετατροπή του 28,375 στον αντίστοιχο δυαδικό Απάντηση: Β 2 = : 11100,011 2 δυαδικό (2/2) 10

Βασικές λογικές πράξεις λογικές πύλες Μία λογική πράξη μεταξύ μεταβλητών είναι μία συνάρτηση που ορίζεται από έναν πίνακα αληθείας (truth table). Το ηλεκτρικό κύκλωμα που εκτελεί μία λογική πράξη ονομάζεται λογική ή ψηφιακή πύλη και παριστάνεται από ένα σύμβολο. Τα δυαδικά ψηφία 1 και 0, που ουσιαστικά παριστάνουν τις δύο καταστάσεις αληθής (true), ψευδής (false), στη φυσική τους υπόσταση είναι δυο διακριτά επίπεδα ηλεκτρικής τάσης (συνήθως στην ιδανική περίπτωση 5V και 0V). 11

Πύλη OR H έξοδος είναι αληθής (true) (1), εάν μια από τις εισόδους ή και οι δυο είναι αληθείς (1) A B Z 0 0 0 0 1 1 0 1 1 1 1 1 A B Z Α Β Ζ Z = A+ B Time t t t 12

Πύλη AND H έξοδος είναι αληθής (1), όταν και οι δυο είσοδοι είναι αληθείς (1) A B AND Z Z A B A B Z 0 0 0 0 1 1 0 0 0 1 1 1 A B A B time t t t 13

Πύλη NOT (Αντιστροφέας) Δημιουργεί αντιστροφή του σήματος εισόδου A NOT Z = Z A A A time t A A 0 1 1 0 t 14

Πύλη NAND (ΝΟΤ AND) Η έξοδος είναι ψευδής (0) μόνο όταν Α και Β είναι αληθείς (1) A B NAND Z Z A B A B Z 0 0 1 0 1 1 1 0 1 1 1 0 15

Πύλη NOR (NOT OR) H έξοδος είναι αληθής (1), όταν και οι δύο είσοδοι είναι ψευδείς (0) Α Β Ζ Z = A+ B A B Z time t t t A B Z 0 0 1 0 1 1 0 0 0 1 1 0 16

Πύλη XOR H έξοδος είναι αληθής (1), όταν ή μία εκ των δύο εισόδων είναι αληθής (1), αλλά όχι και οι δύο ταυτόχρονα Α Β A B Z 0 0 0 0 1 1 1 0 1 1 1 0 Ζ Z A B 17

Πύλη XNOR (NOT XOR) H έξοδος είναι αληθής (1) όταν και οι δυο είσοδοι είναι ψευδείς (0), ή και οι δυο είναι αληθείς (1) Α Β A B Z 0 0 1 0 1 0 1 0 0 1 1 1 Ζ Z A B 18

Συνοπτικός πίνακας λογικών πυλών Ονομασία Σύμβολο Σχέση AND OR A Z B A Z B Z A B Z A B Πίνακας αληθείας Α Β Ζ 0 0 0 0 1 0 1 0 0 1 1 1 0 0 0 0 1 1 1 0 1 1 1 1 NOT A Z Z A 0 1 1 0 NAND A B Z Z A B 0 0 1 0 1 1 1 0 1 1 1 0 NOR A B Z Z A B 0 0 1 0 1 0 1 0 0 1 1 0 XOR A B Z Z A B 0 0 0 0 1 1 1 0 1 1 1 0 XNOR A B Z Z A B 0 0 1 0 1 0 1 0 0 1 1 1 19

Δυνατοί πίνακες αληθείας στο δυαδικό σύστημα (1/2) Ένας πίνακας αληθείας παριστάνει τη συνάρτηση μεταξύ των εισόδων και της εξόδου ενός λογικού συστήματος. Για δυο εισόδους υπάρχουν τέσσερις πιθανοί συνδυασμοί πραγματικών τιμών: FF, FT, TF, TT Επειδή κάθε δυνατή είσοδος μπορεί να δώσει δύο διαφορετικές εξόδους (F, T) συνεπάγεται ότι οι δυνατοί πίνακες αληθείας για ένα λογικό σύστημα δύο εισόδων είναι: 4 2 16 20

Δυνατοί πίνακες αληθείας στο δυαδικό σύστημα (2/2) 21

Άλλοι τρόποι δυαδικής κωδικοποίησης Εκτός από την κανονική δυαδική κωδικοποίηση υπάρχουν κι άλλοι τρόποι δυαδικής κωδικοποίησης οι οποίοι χρησιμοποιούνται σε διάφορες περιπτώσεις. Κωδικοποίηση BCD (Binary Coded Decimal): Η κωδικοποίηση καθιστά δυνατή την απλή μετατροπή μεταξύ δυαδικού και δεκαδικού αριθμού. Κάθε ψηφίο ενός δεκαδικού αριθμού αντικαθίσταται από 4 bits του αντίστοιχου δυαδικού του. 22

Κωδικοποίηση BCD Μετατροπή του 45 10 σε BCD. 45 10 =01000101 BCD Μετατροπή από BCD σε δεκαδικό Η δυαδική λέξη χωρίζεται σε ομάδες των 4bits ξεκινώντας από το λιγότερο σημαντικό ψηφίο. Κατόπιν η κάθε ομάδα μετατρέπεται στον αντίστοιχο δεκαδικό. Μετατροπή 1010011 BCD σε δεκαδικό. Πρόσθεση μηδενικού. Χωρισμός σε ομάδες των 4. Μετατροπή της κάθε ομάδας στον αντίστοιχο δεκαδικό. 45 4 5 0100 0101 [0101][0011] BCD =53 10 23

Κώδικας Gray (1/2) Συχνά χρησιμοποιείται σε ηλεκτρονικά κυκλώματα για την αποφυγή προβλημάτων που θα μπορούσαν να προκύψουν εάν χρησιμοποιούνταν η απευθείας δυαδική κωδικοποίηση. Για παράδειγμα, σε μετρήσεις της θέσης ενός αντικειμένου, θα μπορούσε να φαίνεται ότι γειτονικές θέσεις του αντικειμένου διαφέρουν περισσότερο από ένα bit, εάν χρησιμοποιηθεί η απευθείας δυαδική κωδικοποίηση. 24

Κώδικας Gray (2/2) 25

Κώδικες με ανίχνευση σφάλματος (1/4) Στα ψηφιακά συστήματα, υπάρχουν περιπτώσεις όπου κατά την παραγωγή δεδομένων και την επεξεργασία αυτών, εμφανίζονται σφάλματα. Για παράδειγμα κάποιο ψηφίο 1, ενός συνόλου δυαδικών ψηφίων, μπορεί να μετατραπεί σε ψηφίο 0, είτε κατά το στάδιο της μετάδοσης, είτε γιατί το ψηφιακό σύστημα δεν λειτούργησε σωστά. 26

Κώδικες με ανίχνευση σφάλματος (2/4) Μία απλή μέθοδος, ανίχνευσης του σφάλματος, είναι η χρήση του κώδικα ανίχνευσης λάθους, η οποία χρησιμοποιεί ένα επιπλέον ψηφίο ισοτιμίας (parity bit). Κώδικες ισοτιμίας. Δυο είδη: Περιττή ισοτιμία. Άρτια ισοτιμία. 27

Κώδικες με ανίχνευση σφάλματος (3/4) Κώδικας περιττής ισοτιμίας Το ψηφίο ισοτιμίας είναι 0 αν το σύνολο των ψηφίων, 1, είναι περιττό. Το ψηφίο ισοτιμίας είναι 1 αν το σύνολο των ψηφίων, 1, είναι άρτιο. Για παράδειγμα η δυαδική λέξη 010001 έχει αριθμό ψηφίων 1 άρτιο, συνεπώς θα μεταδοθεί με ψηφίο ισοτιμίας 1, είτε: 1 010001 28

Κώδικες με ανίχνευση σφάλματος (4/4) Κώδικας άρτιας ισοτιμίας Αντίστροφος της περιττής ισοτιμίας. Το ψηφίο ισοτιμίας είναι 1 αν το σύνολο των 1 είναι περιττό. Το ψηφίο ισοτιμίας είναι 0 αν το σύνολο των 1 είναι άρτιο Για παράδειγμα η δυαδική λέξη 10110 έχει αριθμό ψηφίων 1 περιττό, συνεπώς θα μεταδοθεί με ψηφίο ισοτιμίας 1, είτε: 1 10110 29

Άλγεβρα Boole Οι αρχές της λογικής αναπτύχθηκαν από τον George Boole (1815-1884) και τον Augustus De Morgan. Εκατό χρόνια αργότερα ο Claude Shannon (ως μεταπτυχιακός φοιτητής στο MIT) έδειξε ότι η άλγεβρα Boole ήταν σχετική με την ανάλυση διακοπτικών (switching) κυκλωμάτων. Η άλγεβρα Boole αποτελεί τη μαθηματική βάση για την ηλεκτρονική επεξεργασία της δυαδικής πληροφορίας. 30

Ιδιότητες και κανόνες της άλγεβρας Boole Λογικές πράξεις με σταθερές. Λογικές πράξεις με μια μεταβλητή. Λογικές πράξεις με δυο ή περισσότερες μεταβλητές. Λογικές πράξεις με σταθερές: AND OR NOT 0 0 0 1 1 0 1 1 0 0 0 1 0 0 0 0 1 1 1 0 1 1 1 1 0 1 1 0 31

Λογικές πράξεις με σταθερές AND OR NOT 0 0 0 1 1 0 1 1 0 0 0 1 0 0 0 0 1 1 1 0 1 1 1 1 0 1 1 0 32

Λογικές πράξεις με μια μεταβλητή Να αποδειχθούν οι σχέσεις: A A 1 και A 1 A Χρησιμοποιώντας πίνακα αληθείας 33

Λογικές πράξεις - ιδιότητες με δυο ή περισσότερες μεταβλητές (1/5) Αντιμεταθετική ιδιότητα A + B = B + A A B = B A Απορροφητική ιδιότητα A + (A B) = A A (A + B) = A Προσεταιριστική ιδιότητα A + (B + C) = (A + B) + C A (B C) = (A B) C 34

Λογικές πράξεις - ιδιότητες με δυο ή περισσότερες μεταβλητές (2/5) Επιμεριστική ιδιότητα A (B + C) = (A B) + (A C) A + (B C) = (A + B) (A + C) Κανόνες De Morgan A B A B A B A B 35

Λογικές πράξεις - ιδιότητες με δυο ή περισσότερες μεταβλητές (3/5) Κανόνας ελαχιστοποίησης: A B + A B = A ( A+ B) ( A+ B) = A Να αποδειχθεί ότι: ( A+ B) ( A+ B) = A ( A+ B)( A+ B) = AA+ AB + AB + BB = A+ AB + AB + 0 = A+ A( B + B) = A+ A = A Να αποδειχθεί ότι: AB AB A A ( AB) A( A B) A 36

Λογικές πράξεις - ιδιότητες με δυο ή περισσότερες μεταβλητές (4/5) Απάντηση: Χρήση του πίνακα αληθείας: AB AB A( B B) A 1 A A ( AB) A ( AB) AA A(1 B) AB A( A B) A 1 A Τα θεωρήματα de morgan είναι πιο σημαντικά στην λογική σχεδίαση όπου συσχετίζονται and και nor πύλες, ή or και nand πύλες. 37

Λογικές πράξεις - ιδιότητες με δυο ή Για παράδειγμα χρησιμοποιούμε τα θεωρήματα De Morgan για να σχεδιάσουμε ένα συνδυασμό πυλών NAND που είναι ισοδύναμος με μια πύλη OR δύο εισόδων Για μία πύλη OR ισχύει: Επίσης: περισσότερες μεταβλητές (5/5) A A = A f A B A B A a A b Α Β f = A + B a B b B 38

Διαδικασία σχεδίασης ψηφιακής λογικής συνάρτησης Με τον όρο σχεδιασμός ψηφιακής λογικής συνάρτησης, εννοείται ένας συνδυασμός λογικών πυλών για την πραγματοποίηση της επιθυμητής συνάρτησης, η συμπεριφοράς. Η διαδικασία σχεδίασης περιλαμβάνει τα παρακάτω βήματα: Σαφής διατύπωση της επιθυμητής συνάρτησης-συμπεριφοράς Πίνακας αληθείας Έκφραση της συνάρτησης υπό μορφή μεταβλητών (άλγεβρα Boole) Κατάλληλη επεξεργασία της συνάρτησης για την εξαγωγή μιας απλούστερης μορφής Υλοποίηση του ψηφιακού κυκλώματος με πύλες ΑND, OR και ΝΟΤ. Σε πολλές περιπτώσεις η υλοποίηση του κυκλώματος μπορεί να γίνει μόνο με πύλες NAND, η μόνο με πύλες NOR. 39

Κανονικές μορφές λογικών συναρτήσεων (1/4) Κανονική μορφή αθροίσματος Δημιουργείται από τον πίνακα αληθείας και είναι το λογικό άθροισμα (δηλαδή συνδυάζονται υπό μορφή OR) όρων που είναι εκφράσεις AND των μεταβλητών εισόδου στην κανονική, ή συμπληρωματική τους μορφή ανάλογα με την τιμή που έχουν (1 ή 0). Οι όροι που συμπεριλαμβάνονται στο λογικό άθροισμα είναι οι όροι για τους οποίους η τελική συνάρτηση έχει τιμή 1 Παράδειγμα: I A B C Q=ACF+ACG+ACED+ABD+ABEF+ABEG E D F G Q 40

Κανονικές μορφές λογικών Παράδειγμα συναρτήσεων (2/4) F=1 A=0, B=1 & C=1 A=1, B=0 & C=0 A=1, B=0 & C=1 F = ABC + ABC + ABC A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 0 1 1 1 0 ABC ABC ABC Σύντομη γραφή για την κανονική μορφή αθροίσματος F(ABC) = ABC + ABC + ABC F(ABC) 011 100 101 F( ABC ) 3 4 5 F(ABC) (3,4,5 ) 41

Παράδειγμα Δίνεται η λογική συνάρτηση: Q ( A B C)( A B C)( A B C) Να γίνει ο πίνακας αληθείας, να γραφεί η κανονική μορφή αθροίσματος, να απλοποιηθεί η σχέση χρησιμοποιώντας την άλγεβρα Boole και να σχεδιαστεί το ψηφιακό κύκλωμα που την υλοποιεί. Λύση: Κανονικές μορφές λογικών συναρτήσεων (3/4) A ( A B C) B C ( A B C) ( A B C) Q 0 0 0 0 1 1 0 0 0 1 1 1 1 ABC 1 0 1 0 1 0 1 0 0 1 1 1 1 0 0 1 0 0 1 1 1 ABC 1 1 0 1 1 1 1 ABC 1 1 1 0 1 1 1 ABC 1 1 1 1 1 1 1 ABC 1 42

Κανονικές μορφές λογικών συναρτήσεων (4/4) Q= ABC + ABC + ABC + ABC + ABC Απλοποίηση Q ABC ABC ABC ABC ABC BC ( A BC BC A A) Ψηφιακό κύκλωμα A B( C C) A( BC BC A B( C C) BC BC ) ABC ό ό OR A Q = BC + A B B C C AND BC 43

Ημιαθροιστής S AB AB C AB Πίνακας Αληθείας Α Β S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B A B A B AND AND A B A B OR S AND A B C 44

Κανονική μορφή γινομένου (1/5) Αυτή είναι μια εναλλακτική μορφή υλοποίησης της πρώτης μορφής. Οι όροι είναι αθροίσματα (δηλαδή τύπου OR) και πολλαπλασιάζονται μεταξύ τους προκειμένου να σχηματίσουν την έξοδο. Η κατανόηση της διατύπωσης του κανόνα που θα χρησιμοποιούμε στο σχηματισμό της κανονικής μορφής γινομένου γίνεται με το παρακάτω παράδειγμα: A B C F 0 0 0 0 0 0 1 0 A B C 0 1 0 0 A B C 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 0 A B C 1 1 1 0 A B C A B C 45

Κανονική μορφή γινομένου (2/5) F = ABC + ABC + ABC + ABC + ABC F= ABC + ABC + ABC + ABC + ABC F = ABC ABC ABC ABC ABC F = ( A+ B+ C)( A+ B+ C)( A+ B+ C)( A+ B+ C)( A+ B+ C) A B C A B C A B C A B C A B C 46

Κανονική μορφή γινομένου (3/5) Συνεπώς η κανονική μορφή γινομένου μπορεί να αποκτηθεί κατευθείαν από τον πίνακα αληθείας χωρίς τη χρήση κάποιων πράξεων ως εξής: Εντοπίζονται οι όροι που δίνουν F=0. Δημιουργούνται τα αθροίσματα των μεταβλητών, όπου εάν η μεταβλητή έχει τιμή 0 γράφεται στην κανονική της μορφή, ενώ εάν έχει τιμή 1, γράφεται στην αντίστροφη μορφή της. Λαμβάνεται το γινόμενο των παραπάνω αθροισμάτων. Παράδειγμα A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 0 1 1 1 0 A + B + C A + B + C A + B + C A + B + C A + B + C 47

Κανονική μορφή γινομένου (4/5) F ( A B C)( A B C)( A B C)( A B C)( A B C) Σύντομη γραφή για την κανονική μορφή γινομένου Στην περίπτωση αυτή η κανονική μορφή των μεταβλητών παριστάνει το 0, ενώ η αντίστροφη το 1. Συνεπώς αντικαθιστώντας τις μεταβλητές με τη δυαδική τους μορφή, χρησιμοποιώντας το παραπάνω παράδειγμα, προκύπτει: F F 0,1,5,6,7 (000)(001)(101)(110)(111) 0 1 5 6 7 Παράδειγμα Να γράψετε τις δύο κανονικές μορφές της συνάρτησης XOR 48

Κανονική μορφή γινομένου (5/5) Λύση Ο πίνακας αληθείας για τη πύλη XOR είναι: A B F 0 0 0 0 1 1 1 0 1 1 1 0 Για την κανονική μορφή αθροίσματος παίρνουμε τους όρους για F=1: Για τη κανονική μορφή γινομένου παίρνουμε τους όρους για F=0: Ισχύει: 49

Σύνθεση ψηφιακού κυκλώματος (1/3) Κατ αρχήν απλοποιείται η λογική συνάρτηση, η οποία πρόκειται να υλοποιηθεί. Κατόπιν σχεδιάζεται το ψηφιακό κύκλωμα που αντιστοιχεί στη λογική συνάρτηση ξεκινώντας από την έξοδο του κυκλώματος και πηγαίνοντας προς την είσοδό του. Παράδειγμα Να σχεδιαστεί το ψηφιακό κύκλωμα που υλοποιεί τον πίνακα αληθείας: 50

Σύνθεση ψηφιακού κυκλώματος (2/3) Ψηφιακό κύκλωμα χωρίς απλοποίηση A A B + F C Απλοποίηση της λογικής συνάρτησης: F ABC ABC ABC ABC ABC F AB(C C) C(AB AB AB) AB C(A B) αφού AB AB AB AB 51

Σύνθεση ψηφιακού κυκλώματος (3/3) 52

Σύνθεση ψηφιακών κυκλωμάτων με πύλες NAND (1/3) Επειδή τα τρανζίστορ είναι ουσιαστικά αντιστροφείς, οι πύλες NAND αποτελούν δομικά στοιχεία των ολοκληρωμένων κυκλωμάτων τεχνολογίας DTL & TTL, τα βήματα που χρησιμοποιούνται για τη σχεδίαση ενός κυκλώματος αποκλειστικά με πύλες NAND είναι τα εξής: 1. Χρησιμοποιείται ο πίνακας αληθείας για να εκφρασθεί η λογική συνάρτηση υπό μορφή αθροίσματος γινομένων: F P1 P 2... Pn - P είναι το γινόμενο των μεταβλητών εισόδου σε μια γραμμή στην οποία η έξοδος είναι 1. 53

Σύνθεση ψηφιακών κυκλωμάτων με πύλες NAND (2/3) 2. Στο γινόμενο που αντιστοιχεί σε μια δεδομένη γραμμή, οι μεταβλητές των οποίων οι τιμές είναι 0, λαμβάνονται με την αντίστροφή μορφή τους (δηλαδή εάν η μεταβλητή Α σε κάποιον όρο έχει τιμή 0, στο γινόμενο θα εμφανιστεί ως ) 3. Χρησιμοποιώντας το θεώρημα του De Morgan γράφεται η σχέση υπό τη μορφή: 4. Συνθέτουμε το κύκλωμα με πύλες NAND 54

Σύνθεση ψηφιακών κυκλωμάτων με πύλες NAND (3/3) Παράδειγμα Η συνάρτηση F=ABC+ABD να υλοποιηθεί με πύλες NAND. Λύση: 55

Αντικατάσταση πυλών με πύλες NAND (1/2) Οι πύλες AND, OR και NOT μπορούν να εξαχθούν από πύλες NAND. 56

Αντικατάσταση πυλών με πύλες NAND (2/2) 57

Σύνθεση ψηφιακών κυκλωμάτων με πύλες ΝΟR Η σύνθεση των ψηφιακών κυκλωμάτων μόνο με πύλες NOR γίνεται με παρόμοιο τρόπο όπως με τις πύλες NAND, μόνο που σ αυτή την περίπτωση χρησιμοποιείται η κανονική μορφή γινομένου. 58

Αντικατάσταση πυλών με πύλες NOR (1/2) Η λογική NOR είναι η δυαδική της λογικής NAND. Οι πύλες AND, ΟR και NOT μπορούν να δημιουργηθούν με πύλες NOR ως εξής: 59

Αντικατάσταση πυλών με πύλες NOR (2/2) 60

Ελαχιστοποίηση λογικών συναρτήσεων με τη χρήση των πινάκων Karnaugh Στο σχεδιασμό λογικών κυκλωμάτων επιζητείται το βέλτιστο, προκειμένου να υλοποιηθεί μια συγκεκριμένη λογική συνάρτηση. Κριτήρια του βέλτιστου μπορεί να είναι: Η ταχύτητα (λιγότερα λογικά επίπεδα). Το κόστος (λιγότερες λογικές πύλες). Ήδη έχει επιδειχθεί ο τρόπος ελαχιστοποίησης με τη χρήση της άλγεβρας Boole. Εναλλακτικά μπορούν να χρησιμοποιηθούν οι πίνακες Karnaugh, εάν η συνάρτηση είναι γραμμένη με μια από τις δυο κανονικές μορφές. 61

Πίνακες Karnaugh (1/8) Αν θεωρηθεί μια συνάρτηση τριών μεταβλητών ABC, τότε η συνάρτηση μπορεί να απεικονισθεί στον πίνακα Karnaugh με τον εξής τρόπο: 62

Παρατηρήσεις: Πίνακες Karnaugh (2/8) Κάθε τετράγωνο αντιστοιχεί σ έναν από τους οκτώ (8) δυνατούς συνδυασμούς των τριών μεταβλητών. Τα τετράγωνα του πίνακα είναι κατά αυτόν τον τρόπο διατεταγμένα ώστε σε γειτονικά τετράγωνα να αλλάζει μόνο μια μεταβλητή (κώδικας Gray). Για κάθε ζεύγος τετραγώνων γίνεται η παρακάτω απλοποίηση: 63

Πίνακες Karnaugh (3/8) Τέσσερα (4) γειτονικά τετράγωνα δημιουργούν έναν όρο με δυο μεταβλητές λιγότερες. Ομάδες των τριών τετραγώνων πρέπει να χωρίζονται σε ομάδες των δυο. 64

Πίνακες Karnaugh (4/8) Παράδειγμα Να γίνει πίνακας-κ για τη συνάρτηση F = Σ (1,2,5,6) Σημείωση: Ο αριθμός των μεταβλητών είναι ίσος με από τον εκθέτη του 2 για τον οποίο η δύναμη του 2 μας δίνει αριθμό μεγαλύτερο ή ίσο με το μέγιστο αριθμό που έχουμε στη συνάρτηση. Συνεπώς στο παράδειγμα, άρα 3 μεταβλητές. 65

Παράδειγμα Να γίνει ο πίνακας-κ για τη συνάρτηση F = Σ (0,2,4,9,11), καθώς επίσης απλοποίηση αυτής Λύση: Πίνακες Karnaugh (5/8) 66

Παράδειγμα Πίνακες Karnaugh (6/8) Να βρεθεί η ελαχιστοποιημένη μορφή αθροίσματος και η ελαχιστοποιημένη μορφή γινομένου της συνάρτησης F = Σ (3,4,5,6,7,8,10,12,14) 67

Πίνακες Karnaugh (7/8) 68

Πίνακες Karnaugh (8/8) Παράδειγμα Να ελαχιστοποιηθεί η συνάρτηση Λύση 69

Ύπαρξη αδιάφορων περιπτώσεων (1/3) Σε λογικά κυκλώματα υπάρχουν πολλές φορές ορισμένοι συνδυασμοί των μεταβλητών εισόδου που μας είναι αδιάφοροι. Για παράδειγμα έστω ότι έχουμε ένα ηλεκτρονικό ψηφιακό κύκλωμα που θέτει εκτός ένα σήμα (alarm), εάν στην είσοδο του έχει τους αριθμούς 0,4,6,8,9. Εάν έχει σχεδιαστεί κατά τέτοιον τρόπο ώστε να δέχεται αριθμούς μόνο από το 0 έως το 9 να α) προσδιοριστεί το πρόβλημα υπό μορφή πίνακα β) βρεθεί η ελαχιστοποιημένη συνάρτηση με τη χρήση του πίνακα-κ. 70

Ύπαρξη αδιάφορων περιπτώσεων (2/3) 71

Ύπαρξη αδιάφορων περιπτώσεων (3/3) Εάν κατά την απλοποίηση δεν ληφθούν υπόψη οι αδιάφορες περιπτώσεις προκύπτει η σχέση: Λαμβάνοντας υπόψη και τις αδιάφορες περιπτώσεις η σχέση στην οποία καταλήγουμε είναι απλούστερη: 72

Πλήρης Αθροιστής (1/7) Κατ αρχήν εξετάζεται ο ημιαθροιστής δημιουργώντας το ψηφιακό του κύκλωμα χρησιμοποιώντας την κανονική μορφή γινομένου: 73

Πλήρης Αθροιστής (2/7) 74

Πλήρης Αθροιστής (3/7) Ο πλήρης αθροιστής έχει τον παρακάτω πίνακα αληθείας. 75

Πλήρης Αθροιστής (4/7) 76

Πλήρης Αθροιστής (5/7) Το κύκλωμα που υλοποιεί τις παραπάνω σχέσεις είναι: 77

Πλήρης Αθροιστής (6/7) Ή χρησιμοποιώντας το συμβολικό κύκλωμα του ημιαθροιστή: 78

Πλήρης Αθροιστής (7/7) Η άθροιση αριθμών με περισσότερα του ενός δυαδικά ψηφία γίνεται με το κύκλωμα του παράλληλου αθροιστή ως εξής: 79

Σπινθήρες (1/7) Οι πραγματικές ηλεκτρονικές πύλες απαιτούν κάποιο χρόνο για τη λειτουργία τους. Δηλαδή παρουσιάζουν καθυστέρηση (delay) της τάξης των λίγων μs. Οι καθυστερήσεις αυτές δημιουργούν καταστάσεις εξόδου, όπως είναι οι σπινθήρες (hazards), που είναι πολλές φορές ανεπιθύμητες. Για παράδειγμα στο κύκλωμα: 80

Σπινθήρες (2/7) Η έξοδος του, στην ιδανική περίπτωση, θα πρέπει να είναι ίση με μηδέν ανεξάρτητα από την τιμή της εισόδου. Στην πραγματικότητα η έξοδος είναι όπως φαίνεται στο σχήμα: Δηλαδή παρατηρείται ότι η έξοδος παίρνει την τιμή 1 κατά το χρονικό διάστημα της καθυστέρησης (hazard). 81

Σπινθήρες (3/7) Υπάρχουν τρόποι περιορισμού των σπινθηρισμών. Αναμονή μέχρι ωσότου να εμφανιστεί η σωστή έξοδος. Η μέθοδος αυτή δεν συνίσταται κυρίως για ψηφιακά συνδυαστικά κυκλώματα που χρησιμοποιούνται ως οδηγοί ακολουθιακών κυκλωμάτων. Εξισορρόπηση της καθυστέρησης χρησιμοποιώντας διατάξεις πυλών όπως: 82

Σπινθήρες (4/7) 83

Παράδειγμα Σπινθήρες (5/7) 84

Σπινθήρες (6/7) 85

Σπινθήρες (7/7) 86

Κυκλώματα ακολουθιακής λογικής 87

Γενικές μορφές κυκλωμάτων (1/2) 88

Γενικές μορφές κυκλωμάτων (2/2) Τα ακολουθιακά κυκλώματα «θυμούνται» μέσω της σύνδεσης της ανάδρασης. Δύο κύριες κατηγορίες των ακολουθιακών κυκλωμάτων. 89

Κατηγορίες ακολουθιακών κυκλωμάτων Ασύγχρονα: Αλλάζουν κατάσταση σύμφωνα με τις αλλαγές των εισόδων τους. Απαιτούνται ειδικές τεχνικές σχεδιασμού. Σύγχρονα: Τα σήματα ανάδρασης διακόπτονται από καταχωρητές που σκανδαλίζονται από παλμούς ρολογιού. Συνεπώς η κατάστασή του κυκλώματος αλλάζει σύμφωνα με τους παλμούς του ρολογιού. Η κατάσταση του κυκλώματος ορίζεται από το περιεχόμενο των στοιχείων της μνήμης. 90

Flip Flops (1/7) Τα flip-flops διαθέτουν δύο σταθερές καταστάσεις (1 και 0), και παρέχουν μνήμη που αποθηκεύει πληροφορία ενός (1) bit. Υπάρχουν διάφοροι τύποι flip-flops, οι οποίοι ταξινομούνται σύμφωνα με τον τρόπο λειτουργίας τους. 91

Flip Flops (2/7) Τα flip-flops αποτελούν τα βασικά δομικά στοιχεία για το σχεδιασμό των ακολουθιακών κυκλωμάτων. 92

Flip Flops (3/7) 93

Flip Flops (4/7) Για την υλοποίηση του SR-ff δημιουργούνται ο εκτεταμένος πίνακας αληθείας και οι πίνακες Karnaugh, όπου το Qn (παρούσα κατάσταση εξόδου) χρησιμοποιείται ως μεταβλητή εισόδου: 94

Flip Flops (5/7) Το κύκλωμα που υλοποιεί την παραπάνω σχέση είναι: Χρησιμοποιώντας το θεώρημα De Morgan, η σχέση για σχεδιασμό με πύλες NAND έχει ως εξής: 95

Flip Flops (6/7) 96

Flip Flops (7/7) 97

Flιp Flop τύπου D (1/2) 98

Flιp Flop τύπου D (2/2) 99

Flιp Flop τύπου Τ 100

Flιp Flop τύπου JK 101

Υλοποίηση σύγχρονων flip-flops Παράδειγμα με όρους SR-ff (1/5) Να γίνει η υλοποίηση ενός T-ff σε όρους ενός SR-ff Απάντηση Κατ αρχήν δημιουργείται ένας πίνακας συσχέτισης των εισόδων ενός T-ff ( CLK, T, Qn ) και των αντίστοιχων εισόδων του SR-ff που έχουν το ίδιο αποτέλεσμα στην κατάσταση Qn+1 102

Υλοποίηση σύγχρονων flip-flops με όρους SR-ff (2/5) Κατόπιν εξάγονται οι εξισώσεις των S, R με όρους Ck, T και Qn χρησιμοποιώντας τους πίνακες Κ 103

Υλοποίηση σύγχρονων flip-flops με όρους SR-ff (3/5) 104

Υλοποίηση σύγχρονων flip-flops Τελικό κύκλωμα: με όρους SR-ff (4/5) 105

Υλοποίηση σύγχρονων flip-flops με όρους SR-ff (5/5) Σημείωση: Επειδή ουσιαστικά μας ενδιαφέρουν μόνο οι περιπτώσεις που το CLK=1, μπορεί να αγνοηθεί η παράμετρος CLK, ώστε να προκύπτουν πιο απλοί πίνακες. 106

Προβλήματα που σχετίζονται με απλά σύγχρονα ff (1/5) 1. Αναπήδηση εισόδου 107

Προβλήματα που σχετίζονται με απλά σύγχρονα ff (2/5) 2. Κακή λειτουργία κυκλωμάτων που χρησιμοποιούν διαδοχικά ff 108

Προβλήματα που σχετίζονται με απλά σύγχρονα ff (3/5) 3. Ταλαντώσεις σε ff λόγω ανάδρασης. 109

Προβλήματα που σχετίζονται με απλά σύγχρονα ff (4/5) 110

Προβλήματα που σχετίζονται με απλά σύγχρονα ff (5/5) 111

Εφαρμογές ff (1/3) Τυπικές εφαρμογές των ffs είναι: Απλοί καταχωρητές Κυκλώματα καταχωρητών ολίσθησης Μετρητές 112

Εφαρμογές ff (2/3) 113

Εφαρμογές ff (3/3) Ο παραπάνω καταχωρητής είναι γνωστός και ως καταχωρητής SISO (Serial In Serial Out). Εάν σ ένα SISO καταχωρητή το Q1 είναι το πιο σημαντικό ψηφίο και το Q4 το πιο χαμηλής σημαντικότητας ψηφίο (MSB και LSB αντίστοιχα), τότε η μετατόπιση γίνεται προς τα δεξιά. Στην αντίθετη περίπτωση, δηλαδή το Q4 MSB και το Q1 LSB, τότε η μετατόπιση γίνεται προς τα αριστερά. Σημείωση: Κάθε είσοδος 0 στον καταχωρητή μετατόπισης έχει ως αποτέλεσμα Τη διαίρεση με το 2 εάν είναι ο καταχωρητής μετατόπισης προς τα δεξιά και τον πολλαπλασιασμό με το 2 εάν είναι καταχωρητής μετατόπισης προς τα αριστερά 114

Κυκλώματα μετρητών (1/2) 115

Κυκλώματα μετρητών (2/2) 116

Ασύγχρονοι μετρητές (1/4) 117

Ασύγχρονοι μετρητές (2/4) Σημείωση: Στους ασύγχρονους μετρητές μόνο το LSD ff δέχεται παλμό από το εξωτερικό ρολόϊ, ενώ όλα τα υπόλοιπα ff s στην αλυσίδα σκανδαλίζονται από την έξοδο του ff της προηγούμενης βαθμίδας. 118

Ασύγχρονοι μετρητές (3/4) 119

Ασύγχρονοι μετρητές (4/4) 120

Σύγχρονοι μετρητές (1/2) 121

Σύγχρονοι μετρητές (2/2) Το κύκλωμα θα λειτουργεί ως ένας (up-counter) εάν οι καταστάσεις Α Β C D ληφθούν απο τα QA QB QC QD αντίστοιχα, και ως ένας (Down Counter) εάν οι καταστάσεις A B C D ληφθούν απο τα 122

Ορισμοί (1/2) 123

Ορισμοί (2/2) 124

Δημιουργία ενός MOD-M μετρητή (1/4) Να τροποποιηθεί το κύκλωμα του πλήρους σύγχρονου μετρητή, ώστε να παράγει μια περιορισμένη ακολουθία καταστάσεων μεταξύ της S 7 και S 13. 125

Δημιουργία ενός MOD-M μετρητή (2/4) 126

Δημιουργία ενός MOD-M μετρητή (3/4) Για να έχουμε στην είσοδο του Τ στο 1 χρησιμοποιούμε το κύκλωμα 127

Δημιουργία ενός MOD-M μετρητή (4/4) 128

Εισαγωγή στο σχεδιασμό ψηφιακών κυκλωμάτων με διαγράμματα καταστάσεων 129

Διαγράμματα καταστάσεων (1/4) 130

Διαγράμματα καταστάσεων (2/4) 131

Διαγράμματα καταστάσεων (3/4) 132

Διαγράμματα καταστάσεων (4/4) 133

Πρόβλημα (1/6) Να σχεδιαστεί ένα ακολουθιακό κύκλωμα που ανιχνεύει την ακολουθία 1 0 1 από ένα σύνολο δυαδικών στοιχείων που εισάγονται σειριακά με ρυθμό 1 bit ανά παλμό ρολογιού (Να χρησιμοποιηθούν JΚ-ffs) 134

Πρόβλημα (2/6) 135

Πρόβλημα (3/6) 136

Πρόβλημα (4/6) 137

Πρόβλημα (5/6) 138

Πρόβλημα (6/6) 139

Προβλήματα από καταστάσεις που δεν χρησιμοποιούνται (1/4) 140

Προβλήματα από καταστάσεις που δεν χρησιμοποιούνται (2/4) Περιλαμβάνονται στο διάγραμμα καταστάσεων και οι καταστάσεις που δεν χρησιμοποιούνται, σε μια λογική επαναφοράς στην αρχική κατάσταση (Reset circuitry). Δηλαδή κατασκευάζεται ένα διάγραμμα καταστάσεων το οποίο δεν επιτρέπει την εμφάνιση καταστάσεων παγίδα, ή εάν υπάρξουν, η εμφάνιση τους να έγινε πριν την έναρξη του ρολογιού. 141

Προβλήματα από καταστάσεις που δεν χρησιμοποιούνται (3/4) 142

Προβλήματα από καταστάσεις που δεν χρησιμοποιούνται (4/4) 143

Βιβλιογραφία 1. Βιβλίο [22701978]: Ψηφιακή Σχεδίαση, Ρουμελιώτης Μάνος, Σουραβλάς Στάυρος, Ψηφιακή Σχεδίαση Κωδικός Βιβλίου στον Εύδοξο: 22701978, Έκδοση: 1η Έκδοση/2012, Συγγραφείς: Ρουμελιώτης Μάνος, Σουραβλάς Στάυρος, ISBN: 978-960-418-388-2 Διαθέτης (Εκδότης): ΕΚΔΟΣΕΙΣ Α. ΤΖΙΟΛΑ & ΥΙΟΙ Α.Ε. 2. Βιβλίο [41963432]: Ψηφιακή Σχεδίαση, Morris Mano, Michael Ciletti Κωδικός Βιβλίου στον Εύδοξο: 41963432, Έκδοση: 5η Έκδοση/2013, Συγγραφείς: Morris Mano, Michael Ciletti, ISBN: 978-960-491-084-7 144

Τέλος Ενότητας

Σημείωμα Αναφοράς Copyright ΤΕΙ Δυτικής Μακεδονίας, Κοντογιάννης Σωτήρης. «Ψηφιακά Συστήματα». Έκδοση: 1.0. Κοζάνη 2015. Διαθέσιμο από τη δικτυακή διεύθυνση: 146

Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons Αναφορά, Μη Εμπορική Χρήση Παρόμοια Διανομή 4.0 [1] ή μεταγενέστερη, Διεθνής Έκδοση. Εξαιρούνται τα αυτοτελή έργα τρίτων π.χ. φωτογραφίες, διαγράμματα κ.λ.π., τα οποία εμπεριέχονται σε αυτό και τα οποία αναφέρονται μαζί με τους όρους χρήσης τους στο «Σημείωμα Χρήσης Έργων Τρίτων». [1] http://creativecommons.org/licenses/by-nc-sa/4.0/ Ως Μη Εμπορική ορίζεται η χρήση: που δεν περιλαμβάνει άμεσο ή έμμεσο οικονομικό όφελος από την χρήση του έργου, για το διανομέα του έργου και αδειοδόχο. που δεν περιλαμβάνει οικονομική συναλλαγή ως προϋπόθεση για τη χρήση ή πρόσβαση στο έργο. που δεν προσπορίζει στο διανομέα του έργου και αδειοδόχο έμμεσο οικονομικό όφελος (π.χ. διαφημίσεις) από την προβολή του έργου σε διαδικτυακό τόπο. Ο δικαιούχος μπορεί να παρέχει στον αδειοδόχο ξεχωριστή άδεια να χρησιμοποιεί το έργο για εμπορική χρήση, εφόσον αυτό του ζητηθεί. 147

Διατήρηση Σημειωμάτων Οποιαδήποτε αναπαραγωγή ή διασκευή του υλικού θα πρέπει να συμπεριλαμβάνει: το Σημείωμα Αναφοράς. το Σημείωμα Αδειοδότησης. τη δήλωση Διατήρησης Σημειωμάτων. το Σημείωμα Χρήσης Έργων Τρίτων (εφόσον υπάρχει). μαζί με τους συνοδευόμενους υπερσυνδέσμους. 148