Η ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ & ΟΙ ΕΞΕΛΙΞΕΙΣ ΤΗΣ

Σχετικά έγγραφα
Η ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ & ΟΙ ΕΞΕΛΙΞΕΙΣ ΤΗΣ. Ε. Κ. Παλούρα, Καθηγήτρια ΠΜΣ «Φυσική & τεχνολογία υλικών» Τμήμα Φυσικής ΑΠΘ

Φασματοσκοπία SIMS (secondary ion mass spectrometry) Φασματοσκοπία μάζης δευτερογενών ιόντων

ΑΡΧΕΣ ΗΛΕΚΤΡΟΝΙΚΩΝ ΣΤΟΙΧΕΙΩΝ: Τεχνολογία Κατασκευής Ολοκληρωµένων Κυκλωµάτων

ΕΠΙΠΕΔΗ ΤΕΧΝΟΛΟΓΙΑ. αρχικό υλικό. *στάδια επίπεδης τεχνολογίας. πλακίδιο Si. *ακολουθία βημάτων που προσθέτουν ή αφαιρούν υλικά στο πλακίδιο Si

Χαρακτηρισμός υλικών με ιόντα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (13 η σειρά διαφανειών)

Physical vapor deposition (PVD)-φυσική εναπόθεση ατμών

Εισαγωγή Σε Ολοκληρωµένα Κυκλώµατα (Microchips) Αναλογικά ή Ψηφιακά Κυκλώµατα;

ΚΑΤΑΛΥΤΙΚΆ ΥΛΙΚΆ. 1. Παρασκευή Στηριγμένων Καταλυτών. 2. Χαρακτηρισμός Καταλυτών

ΙΔΙΟΤΗΤΕΣ ΜΑΓΝΗΤΙΚΩΝ ΦΑΚΩΝ. Ηλεκτροστατικοί και Μαγνητικοί Φακοί Βασική Δομή Μαγνητικών Φακών Υστέρηση Λεπτοί Μαγνητικοί Φακοί Εκτροπές Φακών

Διατάξεις ημιαγωγών. Δίοδος, δίοδος εκπομπής φωτός (LED) Τρανζίστορ. Ολοκληρωμένο κύκλωμα

ΟΡΓΑΝΟΛΟΓΙΑ ΦΑΣΜΑΤΟΜΕΤΡΙΚΩΝ ΟΡΓΑΝΩΝ ΜΕΤΡΗΣΗΣ: ΑΠΟΡΡΟΦΗΣΗΣ ΦΘΟΡΙΣΜΟΥ, ΦΩΣΦΩΡΙΣΜΟΥ, ΣΚΕΔΑΣΗΣ ΕΚΠΟΜΠΗΣ, ΧΗΜΕΙΟΦΩΤΑΥΓΕΙΑΣ

Λιθογραφία. Υμένιο Φωτοπολυμερούς Δισκίο Πυριτίου. Έκθεση μέσω μάσκας. Εμφάνιση του φωτοπολυμερικού υλικού

Σύστημα Κοκκομετρίας ANALYSETTE 22 MicroTec Plus. Ν. ΑΣΤΕΡΙΑΔΗΣ Α.Ε. FRITSCH GmbH

Εφαρμογές των Laser στην Φ/Β τεχνολογία: πιο φτηνό ρεύμα από τον ήλιο

Οι ακτίνες Χ είναι ηλεκτρομαγνητική ακτινοβολία με λ [ m] (ή 0,01-10Å) και ενέργεια φωτονίων kev.

Οι ακτίνες Χ είναι ηλεκτροµαγνητική ακτινοβολία µε λ [ m] (ή 0,01-10Å) και ενέργεια φωτονίων kev.

ΟΠΤΟΗΛΕΚΤΡΟΝΙΚΗ ΜΑΘΗΜΑ 1 Ο ΟΠΤΙΚΗ. Δρ. M.Χανιάς Αν.Καθηγητής Τμήμα Ηλεκτρολόγων Μηχανικών ΤΕ, ΤΕΙ Ανατολικής Μακεδονίας και Θράκης

ΧΑΡΑΚΤΗΡΙΣΜΟΣ ΛΕΠΤΩΝ ΥΜΕΝΙΩΝ ΥΔΡΟΓΟΝΩΜΕΝΟΥ ΠΥΡΙΤΙΟΥ (Si:H) ΜΕ ΦΑΣΜΑΤΟΣΚΟΠΙΑ ΥΠΕΡΙΩΔΟΥΣ ΟΡΑΤΟΥ (UV/VIS)

ΑΣΚΗΣΗ 8 ΚΕΡΑΜΙΚΑ ΥΜΕΝΙΑ (Τεχνολογίες επίστρωσης από διαλύματα και αιωρήματα για την εφαρμογή κεραμικών επιστρωμάτων)

Εξετάσεις Φυσικής για τα τμήματα Βιοτεχνολ. / Ε.Τ.Δ.Α Ιούνιος 2014 (α) Ονοματεπώνυμο...Τμήμα...Α.Μ...

Χαρακτηρισμός επιφανειών με

Η επαφή p n. Η επαφή p n. Υπενθύμιση: Ημιαγωγός τύπου n. Υπενθύμιση: Ημιαγωγός τύπου p

ΤΕΧΝΟΛΟΓΙΑ ΜΗ ΚΑΤΑΣΤΡΟΦΙΚΟΥ ΕΛΕΓΧΟΥ ΘΕΩΡΙΑ ο ΜΑΘΗΜΑ

Χαρακτηρισμός και μοντέλα τρανζίστορ λεπτών υμενίων βιομηχανικής παραγωγής: Τεχνολογία μικροκρυσταλλικού πυριτίου χαμηλής θερμοκρασίας

4. Παρατηρείστε το ίχνος ενός ηλεκτρονίου (click here to select an electron

ΑΣΚΗΣΗ 4 η. Λιθογραφία θετικού τόνου με συμβατικό φωτοευαίσθητο υλικό. Σκοπός

ΗΛΕΚΤΡΟΝΙΚΟ ΜΙΚΡΟΣΚΟΠΙΟ. Ηλεκτρονικό Μικροσκόπιο Διέλευσης ή Διαπερατότητας

Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Ασκήσεις Μικροηλεκτρονικής

Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Ενότητα Α: Τεχνολογία Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Κεφάλαιο 5: Μικρολιθογραφία

Πυρίτιο. Η βάση για τα σύγχρονα ημιαγωγικά κυκλώματα είναι. Ένας κρύσταλλος καθαρού πυριτίου συμπεριφέρεται

ρ ε υ ν α Οι ανάγκες για ενέργεια παγκοσμίως αυξάνονται συνεχώς και εκτιμάται ότι θα διπλασιασθούν

Μικρο Νανοκατεργασίες. Δρ. Μηχ. Άγγελος Μαρκόπουλος

Κυματική οπτική. Συμβολή Περίθλαση Πόλωση

ΚΕΦΑΛΑΙΟ ΕΚΤΟ ΤΕΧΝΟΛΟΓΙΚΕΣ ΔΙΕΡΓΑΣΙΕΣ ΣΤΕΡΕΑΣ ΚΑΤΑΣΤΑΣΗΣ. Περιληπτική θεωρητική εισαγωγή

Nανοσωλήνες άνθρακα. Ηλεκτρονική δομή ηλεκτρικές ιδιότητες. Εφαρμογές στα ηλεκτρονικά

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

Ύλη ένατου µαθήµατος. Οπτικό µικροσκόπιο, Ηλεκτρονική µικροσκοπία σάρωσης, Ηλεκτρονική µικροσκοπία διέλευσης.

Εφαρμοσμένη Οπτική. Γεωμετρική Οπτική

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

Εργαστήριο Υλικών ΙΙ (Κεραμικά & Σύνθετα Υλικά)

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

ΕΡΓΑΣΤΗΡΙΟ ΦΥΣΙΚΗΣ ΧΗΜΕΙΑΣ ΤΜΗΜΑΤΟΣ ΒΙΟΛΟΓΙΑΣ Φασματοφωτομετρία

ΙΑΤΡΙΚΗ ΑΠΕΙΚΟΝΙΣΗ ΥΠΕΡΗΧΟΓΡΑΦΙΑ

ΕΙΔΙΚΑ ΚΕΦΑΛΑΙΑ ΣΥΓΧΡΟΝΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΠΑΡΑΓΩΓΗΣ

NTSE - Nano Technology Science Education Project No: LLP TR-KA3-KA3MP

ΝΑΝΟΥΛΙΚΑ ΚΑΙ ΝΑΝΟΤΕΧΝΟΛΟΓΙΑ ΣΤΕΛΛΑ ΚΕΝΝΟΥ ΚΑΘΗΓΗΤΡΙΑ

ΣΤΟΙΧΕΙΑ ΑΝΤΟΧΗΣ ΣΤΗ ΔΙΑΒΡΩΣΗ ΤΟΥ ΑΛΟΥΜΙΝΙΟΥ ΑΝΟΔΙΩΣΗ

Απορρόφηση του φωτός Προσδιορισμός του συντελεστή απορρόφησης διαφανών υλικών

ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙΔΕΙΑΣ

Μάθημα 23 ο. Μεταλλικός Δεσμός Θεωρία Ζωνών- Ημιαγωγοί Διαμοριακές Δυνάμεις

Σχετικά με το μάθημα. Ο Υπολογιστής Η γενική εικόνα. Η μνήμη. Ενότητες μαθήματος. Εισαγωγή στους Υπολογιστές. Βιβλία για το μάθημα

ΚΕΦΑΛΑΙΟ 13 LASER. Light Amplification by Stimulated Emission of Radiation Ενίσχυση Φωτός με Επαγόμενη Εκπομπή Ακτινοβολίας

Νανοηλεκτρονικές Διατάξεις Π. Φωτόπουλος ΠΑΔΑ

ΠΕΙΡΑΜΑ 4: ΟΠΤΙΚΗ ΦΑΣΜΑΤΟΣΚΟΠΙΑ AΠΟΡΡΟΦΗΣΗΣ

Πείραμα - 4 Σύζευξη Οπτικών Ινών με Laser

ΘΕΜΑ Β Β.1 Α) Μονάδες 4 Μονάδες 8 Β.2 Α) Μονάδες 4 Μονάδες 9

ΑΣΚΗΣΕΙΣ ΚΥΜΑΤΙΚΗΣ ΟΠΤΙΚΗΣ

ΑΣΚΗΣΕΙΣ ΚΥΜΑΤΙΚΗΣ ΟΠΤΙΚΗΣ

Τι είναι η ΜΙΚΡΟΣΚΟΠΙΑ; Τι μέγεθος έχει το μικρότερο αντικείμενο που μπορούμε να δούμε; Τι πληροφορίες μπορούμε να αποκομίσουμε και με τι ευκρίνεια;

Από πού προέρχεται η θερμότητα που μεταφέρεται από τον αντιστάτη στο περιβάλλον;

Τελική γραπτή εξέταση «Επιστήμη και Τεχνολογία Υλικών ΙΙ»-Ιούνιος 2016

Μικροηλεκτρονική - VLSI

Χημικός & δομικός χαρακτηρισμός επιφανειών και λεπτών υμενίων

Φυσική ΘΕΜΑ 1 ΘΕΜΑ 2 ΘΕΜΑ 3

ΜΗΧΑΝΙΣΜΟΙ ΦΘΟΡΑΣ 1.Φθορά επιφανειών φθοράς 2. Μηχανισμοί φθοράς Φθορά πρόσφυσης (adhesive wear)

B' ΤΑΞΗ ΓΕΝ.ΛΥΚΕΙΟΥ ΘΕΤΙΚΗ & ΤΕΧΝΟΛΟΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ ΦΥΣΙΚΗ ΕΚΦΩΝΗΣΕΙΣ ÅÐÉËÏÃÇ

Φύση του φωτός. Θεωρούμε ότι το φως έχει διττή φύση: διαταραχή που διαδίδεται στο χώρο. μήκος κύματος φωτός. συχνότητα φωτός

Εισαγωγή στους Υπολογιστές

Πειραματικός υπολογισμός του μήκους κύματος μονοχρωματικής ακτινοβολίας

ΗΛΕΚΤΡΟΝΙΚΗ Ι. Ενότητα 10: Κατασκευή ολοκληρωμένων κυκλωμάτων. Χατζόπουλος Αλκιβιάδης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχ.

ΟΔΟΝΤΙΑΤΡΙΚΗ ΑΚΤΙΝΟΓΡΑΦΙΑ

ΚΕΦΑΛΑΙΟ 11Α «Γεωμετρική οπτική - οπτικά όργανα» Εισαγωγή - Ανάκλαση

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

Φυσική Στερεάς Κατάστασης η ομάδα ασκήσεων Διδάσκουσα Ε. Κ. Παλούρα

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ Γ ΗΜΕΡΗΣΙΩΝ ΕΣΠΕΡΙΝΩΝ

ΕΚΠΑΙΔΕΥΤΙΚΗ ΚΛΙΜΑΚΑ ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ

ΠΟΥ ΔΙΑΔΙΔΕΤΑΙ ΤΟ ΦΩΣ

Επαφές μετάλλου ημιαγωγού

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

Φυσική των οφθαλμών και της όρασης. Κική Θεοδώρου

ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙ ΕΙΑΣ 2010 ΕΚΦΩΝΗΣΕΙΣ

ΦΩΤΟΒΟΛΤΑΪΚΑ. Γ. Λευθεριώτης Αναπλ. Καθηγητής Γ. Συρροκώστας Μεταδιδακτορικός Ερευνητής

ΑΠΑΝΤΗΣΕΙΣ. Επιµέλεια: Οµάδα Φυσικών της Ώθησης

ΕΠΕΞΕΡΓΑΣΙΑ ΤΡΟΦΙΜΩΝ

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ Γ ΗΜΕΡΗΣΙΩΝ ΕΣΠΕΡΙΝΩΝ

Μικρο Νανοκατεργασίες. Δρ. Μηχ. Άγγελος Μαρκόπουλος

2ο Επαναληπτικό Διαγώνισμα Φυσικής Γενικής Παιδείας Β τάξης Λυκείου.

Κεφάλαιο 35 ΠερίθλασηκαιΠόλωση. Copyright 2009 Pearson Education, Inc.

Εργαστήριο ΑΠΕ I. Ενότητα 3: Ηλιακοί Συλλέκτες: Μέρος Α. Πολυζάκης Απόστολος / Καλογήρου Ιωάννης / Σουλιώτης Εμμανουήλ

Επιτροπάκη Ειρήνη. Xianghui Xu,Hui Yuan,Jing Chang,Bin He and Zhongwei Gu. Angew.Chem.Int.Ed. 2012,51,1-5

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

Το υποσύστηµα "αίσθησης" απαιτήσεις και επιδόσεις φυσικά µεγέθη γενική δοµή και συγκρότηση

ΤΕΧΝΟΛΟΓΙΑ ΜΗ ΚΑΤΑΣΤΡΟΦΙΚΟΥ ΕΛΕΓΧΟΥ 4 ο ΜΑΘΗΜΑ ΘΕΩΡΙΑ 2017

Οι δύο θεμελιώδεις παράμετροι προσδιορισμού της ταχύτητας του φωτός στο κενό: Διηλεκτρική σταθερά ε0 Μαγνητική διαπερατότητα μ0

PLANCK 1900 Προκειμένου να εξηγήσει την ακτινοβολία του μέλανος σώματος αναγκάστηκε να υποθέσει ότι η ακτινοβολία εκπέμπεται σε κβάντα ενέργειας που

Μελέτη και κατανόηση των διαφόρων φάσεων του υδρολογικού κύκλου.

ΕΡΓΑΣΤΗΡΙΟ ΣΥΣΤΗΜΑΤΩΝ ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΣΑΕ ΙΙ. Αισθητήρια θερμοκρασίας Εισαγωγή

ηλεκτρικό ρεύμα ampere

Transcript:

Η ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ & ΟΙ ΕΞΕΛΙΞΕΙΣ ΤΗΣ προσέγγιση top down στην κατασκευή νανοδομών Ε. Κ. Παλούρα Φυσική επιφανειών & εφαρμογές 2014 1 ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ Για την κατασκευή διατάξεων, π.χ. τρανζίστορ, απαιτείται μία σειρά από διαδοχικές διεργασίες η 3D επαλληλία των οποίων διαμορφώνεται με τη φωτολιθογραφία Ανάπτυξη υλικού (σε πολυστρωματικές δομές): οξείδωση, εξάτμιση, CVD, sputtering Αφαίρεση υλικού: υγρή ή ξηρή εγχάραξη (χημική χάραξη) Τροποποίηση: εισαγωγή προσμίξεων, εμφύτευση ιόντων, ανόπτηση Διαμόρφωση (patterning) δηλ. χωρικός ορισμός των διαφορετικών περιοχών της διάταξης Προστασία: πχ LPCVD Si 3 N 4 Η φωτολιθογραφία χρησιμοποιείται για την κατασκευή 3D δομών οι οποίες 2 έχουν περιοδική διαμόρφωση της χημικής σύστασης in-plane και σε διαδοχικά επίπεδα (out of plane) 1

ΤΙ ΕIΝΑΙ Η ΦΩΤΟΛΙΘΟΓΡΑΦIΑ?-1 Είναι η μεταφορά γεωμετρικών σχημάτων από μία μάσκα σε ένα λεπτό υμένιο φωτοευπαθούς ρητίνης που επικαλύπτει την λεία επιφάνεια ενός wafer ημιαγωγού γ Τα γεωμετρικά σχήματα καθορίζουν διάφορες περιοχές ενός ολοκληρωμένου κυκλώματος όπως περιοχές για εμφύτευση, για ηλεκτρικές επαφές κλπ., σε διαδοχικά εγκάρσια επίπεδα μιας πολυεπίπεδης διάταξης Τα γεωμετρικά σχήματα δεν είναι μόνιμα στοιχεία του κυκλώματος αλλά με διεργασίες χημικής χάραξης μεταφέρονται στα υποκείμενα στρώματα της δομής. Η φωτολιθογραφία συνδυάζει όλες τις διεργασίες που προαναφέρθηκαν (ανάπτυξη, αφαίρεση, τροποποίηση & διαμόρφωση υλικού), και είναι απαραίτητη για την κατασκευή πολύπλοκων δομών. 3 ΤΙ ΕΙΝΑΙΗΦΩΤΟΛΙΘΟΓΡΑΦIΑ??-2 Η λιθογραφία εφευρέθηκε το 1796 ως μέθοδος εκτύπωσης με την χρήση μελάνης, μεταλλικών πλακών και χαρτιού Στην τεχνολογία των ημιαγωγών η φωτολιθογραφία χρησιμοποιεί φως για την μεταφορά του ειδώλου από την μάσκα σε υπόστρωμα ημιαγωγού. Άλλες μέθοδοι έκθεσης της ρητίνης χρησιμοποιούν δέσμη ηλεκτρονίων, ακτίνες Χ, φως στο μακρύ υπεριώδες (XUV) και extreme UV (EUV) Σημαντικοί παράγοντες επιτυχίας: ο καθαρός θάλαμος, οι φωτοευπαθείς ρητίνες η ευθυγράμμιση των μασκών στα διαδοχικά στάδια της ολοκλήρωσης (κατασκευής του κυκλώματος) το μήκος κύματος λ του φωτός 4 2

Ο ΚΑΘΑΡΟΣ ΘΑΛΑΜΟΣ -1 Η φωτολιθογραφία γίνεται σε καθαρούς θαλάμους στους οποίους ο συνολικός αριθμός σωματιδίων ανά μονάδα όγκου, η θερμοκρασία και η υγρασία ελέγχονται αυστηρά. Παράδειγμα: Θάλαμος κλάσης 100 μπορεί να περιέχει 100 σωματίδια, με διάμετρο 0,5μm, ανά κυβικό μέτρο αέρα (4 τάξεις μεγέθους μικρότερη συγκέντρωση από τον αέρα ενός μη ελεγχόμενου δωματίου). Στην περιοχή της λιθογραφίας απαιτείται καθαρός θάλαμος κλάσης 10. 5 http://www.legitreviews.com/article/1179/2/ ΤΟ «ΑΠΟΤΥΠΩΜΑ» ΤΗΣ ΘΕΤΙΚΗΣ ΚΑΙ ΑΡΝΗΤΙΚΗΣ ΡΗΤΙΝΗΣ-1 Η θετική ρητίνη προστατεύει το κομμάτι του υλικού που θέλουμε να διατηρήσουμε. Εκτίθενται σε φως τα σημεία που πρέπει να αφαιρεθούν από το υποκείμενο υλικό. Η αρνητική ρητίνη: τα υλικά της εμφάνισης απομακρύνουν μόνον τα κομμάτια που δεν έχουν εκτεθεί στο UV. 6 3

ΟΙ ΘΕΤΙΚΕΣ ΦΩΤΟΕΥΠΑΘΕΙΣ ΡΗΤΊΝΕΣ Πριν από την έκθεση η θετική φωτοευπαθής ρητίνη είναι αδιάλυτη στα υγρά εμφάνισης. Εκτίθενται σε φως τα σημεία που πρέπει να αφαιρεθούν από το υποκείμενο υλικό. Η έκθεση αλλάζει την χημική δομή της φωτοευπαθούς η οποία διαλύεται στα υγρά της εμφάνισης & αφήνει εκτεθειμένα παράθυρα στο υποκείμενο υλικό. Επομένως η μάσκα είναι διαμορφωμένη με το ακριβές πρότυπο που πρέπει να δημιουργηθεί επάνω στο υπόστρωμα ΟΙ ΑΡΝΗΤΙΚΕΣ ΦΩΤΟΕΥΠΑΘΕΙΣ ΡΗΤΊΝΕΣ Aποτελούνται από συνδυασμό 2 συστατικών: ενός πολυμερούς και μίας φωτοευπαθούς ένωσης. Με την έκθεση στο UV στο πολυμερές συμβαίνει πολυμερισμός αύξηση του μοριακού βάρους και το καθιστά αδιάλυτο στα υγρά εμφάνισης. Τα υλικά της εμφάνισης απομακρύνουν μόνον τα κομμάτια που δεν έχουν εκτεθεί στο UV. Οι μάσκες για αρνητικές ρητίνες περιέχουν το αντίστροφο (το 7 «αρνητικό») του προτύπου που πρέπει να μεταφερθεί στο υπόστρωμα. To «άπλωμα» της ρητίνης γίνεται με φυγοκέντρηση. Τυπικό πάχος για Si : 1-2μm H βάση περιστρέφεται με 3000-6000rpm για 15-30 sec Το πάχος της ρητίνης: όπου t kp 2 / w k σταθερά της φυγοκέντρου p το περιεχόμενο της ρητίνης σε στερεά w η ταχύτητα περιστροφής σε rpm/1000 8 4

Θετική ρητίνη: Στην ενέργεια Ε Τ (που είναι ανάλογη της ευαισθησίας) η εκτεθειμένη θετική φωτοευπαθής είναι πλήρως διαλυτή στα υγρά εμφάνισης. Τι συμβαίνει για Ε<Ε Τ? Παρατηρούμε ότι οι ακμές του ειδώλου δεν είναι οξείες (sharp) λόγω φαινομένων περίθλασης. Η ευαισθησία της θετικής φωτοευπαθούς περιγράφεται από την παράμετρο γ : 1 E ln T E1 Όσο μεγαλύτερο είναι το γ τόσο οξύτερα (πιο sharp) είναι τα είδωλα Η αρνητική φωτοευπαθής παραμένει αδιάλυτη όταν εκτεθεί σε εέρεα>ε ενέργεια Τ. Τι συμβαίνει για Ε<Ε Τ? Η παράμετρος γ για αρνητική ρητίνη ορίζεται ως: 1 E ln 1 9 ET Μάσκες για φωτολιθογραφία: γυάλινες πλάκες με μεταλλική επικάλυψη π.χ. Cr Σχεδιάζονται σε μέγεθος 100 έως 2000 φορές μεγαλύτερο το κυκλώματος Η τελική μάσκα κατασκευάζεται υπό σμίκρυνση Για την κατασκευή της μάσκας σε κυκλώματα VLSI χρησιμοποιούνται προγράμματα CAD και το κύκλωμα προβάλλεται κατ ευθείαν στην ρητίνηη Σημείο προσοχής: ατέλειες που δημιουργούνται κατά την κατασκευή ή χρήση της μάσκας Η απόδοση (Υ) ορίζεται ως ο λόγος των λειτουργικών chips προς τον συνολικό αριθμό των chips στο wafer. Κατά προσέγγιση DA Y e όπου D ο αριθμός των καταστροφικών ατελειών ανά μονάδα επιφάνειας και Α η επιφάνεια ενός κυκλώματος (chip) Εάν το D είναι σταθερό για όλα τα masking levels, π.χ. για Ν=10 διαδοχικά επίπεδα φωτολιθογραφίας (masking levels), τότε NDA Y e 10 5

Απόδοση διεργασίας που περιλαμβάνει 10 βήματα φωτολιθογραφίας συναρτήσει του μεγέθους του Chip και με παράμετρο τη πυκνότητας των ατελειών ανά λιθογραφικό στάδιο 11 Μέθοδοι έκθεσης o o Η διακριτική ικανότητα ελέγχεται από το λ και το z Πρόβλημα: καταστροφή της μάσκας Η διακριτική ικανότητα επηρεάζεται από τα λ και το αριθμητικό άνοιγμα του φακού 12 Εκτύπωση υπό σμίκρυνση Μάσκα προστατευμένη 6

Η μάσκα σε επαφή με το υπόστρωμα (contact printing): Λόγος αναπαραγωγής του ειδώλου 1:1 Πλεονέκτημα: υψηλή διακριτική ικανότητα <0,5μm Μειονέκτημα: εύκολη καταστροφή μάσκας από σωματίδια σκόνης που βρίσκονται στην επιφάνεια του υποστρώματος και μπορούν να προκαλέσουν την δημιουργία ατελειώνσεεπόμενα βήματα λιθογραφίας Η μάσκα απέχει από το υπόστρωμα 10-50μm (proximity printing). Πλεονέκτημα: η μέθοδος είναι απαλλαγμένη από προβλήματα που σχετίζονται με μόρια σκόνης Μειονέκτημα: περίθλαση στα όρια αδιαφανών περιοχών της μάσκας, δημιουργία κροσσών φως περνάει στην περιοχή της σκιάς και η διακριτική ικανότητα 0.5 μm στο ορατό και πολύ καλύτερη στην λιθογραφία ακτίνων Χ 13 Η μάσκα απέχει από το υπόστρωμα 10-50μm (proximity printing) Το μικρότερο εύρος γραμμής που μπορεί να τυπωθεί είναι l m l m zz όπου λ το μήκος κύματος του φωτός και z το χάσμα ανάμεσα στο υπόστρωμα και την μάσκα (περιλαμβάνει και το πάχος της ρητίνης). Επομένως μείωση των λ & z συμβάλει στην βελτίωση της διακριτικής ικανότητας Παράδειγμα λ=0,4μm & z=50μm l m =4.5μm λ=0,25 μm (deep UV) & z=15μm l m =2μm Πρόβλημα: παρουσία σωματιδίων σκόνης με διάμετρο > g μπορεί να καταστρέψει την μάσκα. 14 7

Εκτύπωση με προβολή Ο λόγος αναπαραγωγής του ειδώλου μπορεί να είναι 10:1 τα σχήματα επάνω στην μάσκα μπορούν να είναι μεγαλύτερα ευκολότερη κατασκευή της μάσκας χωρίς ατέλειες. Πλεονέκτημα: ιακριτική ικανότητα 0,2μm στο βαθύ UV Μειονέκτημα: περίπλοκο και ακριβό οπτικό σύστημα Η διακριτική ικανότητα συστημάτων προβολής l m είναι συνάρτηση του μ.κ. λ και του αριθμητικού ανοίγματος ΝΑ l m NA NA n sin Ο δείκτης διάθλασης του μέσου n (συνήθως αέρας και n=1) και η γωνία θ ορίζoνται όπως στο σχήμα 15 Σχηματικό διάγραμμα απλού συστήματος προβολής Το βάθος πεδίου/εστίασης lm 2 lm 2 n z tan sin 2( NA) 2 όπου l m NA Η διακριτική ικανότητα l m βελτιώνεται με του λ ή του ΝΑ Όμως του ΝΑ επιδεινώνεται το βάθος εστίασης η βελτίωση της διακριτικής ικανότητας γίνεται με μείωση του λ. 16 8

Επίδραση φαινομένων περίθλασης-σύγκριση μεθόδων έκθεσης To προφίλ της έντασης που περνάει μέσα από τη μάσκα και φτάνει στην φωτοευπαθή εξαρτάται από τη γεωμετρία έκθεσης Σε επαφή 17 Ιστορική εξέλιξη της φωτολιθογραφίας Στόχος: Βελτίωση της διακριτικής ικανότητας Αύξηση του αριθμητικού ανοίγματος των οπτικών στοιχείων Μείωση του λ 1985: Λάμπα Hg (365 nm) 2002: laser ArF (deep UV 193 nm) 2011: plasma Sn (Tin-κασσίτερος) που διεγείρεται από CO 2 laser (Extreme UV 13.5 nm) Η απόδοση ενός οργάνου φωτολιθογραφίας περιγράφεται από 3 παραμέτρους: Την διακριτική ικανότητα Την ακρίβεια εγγραφής (registration): μέτρο της ακρίβειας με την οποία διαδοχικές μάσκες μπορούν να ευθυγραμμιστούν ως προς δομές που κατασκευάστηκαν σε προηγούμενα στάδια Την παραγωγικότητα: αριθμός υποστρωμάτων που εκτίθενται ανά ώρα σε δεδομένο επίπεδο μάσκας 18 9

Η ολοκλήρωση της φωτολιθογραφίας περιλαμβάνει τα εξής βήματα 1. Σχολαστικός καθαρισμός της επιφάνειας του υποστρώματος 2. Ανάπτυξη υμενίου που λειτουργεί ως μάσκα (SiO 2 ) 3. Επικάλυψη της επιφάνειας με φωτοευπαθή ρητίνη (με φυγοκέντρηση) 4. Ανόπτηση 1 (soft baking): οι διαλύτες απομακρύνονται από την ρητίνη και η ρητίνη γίνεται φωτοευπαθής. Το πάχος της ρητίνης μειώνεται κατά 25%. Ελλιπές Pre-bake αύξηση του χρόνου εμφάνισης. Ενδεικτικές συνθήκες: 90-100 o C για 20min σε φούρνο 75-85 o C για 45 sec σε hot plate (ταχύτερη, καλύτερα ελεγχόμενη αποφεύγεται η παγίδευση διαλύτη) Λάμπες IR κλπ 19 5. Σχολαστική ευθυγράμμιση της μάσκας 6. Έκθεση 7. Εμφάνιση 8. Ανόπτηση 2 (postbake ή hard baking): Τελικό στάδιο της φωτολιθογραφίας. Σταθεροποιεί, προκαλεί σκλήρυνση της ρητίνης, βελτιώνει την πρόσφυσή της στην επιφάνεια του υποστρώματος, και απομακρύνει ίχνη των διαλυτών & υγρών εμφάνισης. 9. Σχολαστικός καθαρισμός του υποστρώματος Αύξηση της θερμοκρασίας ή του χρόνου του postbake πιο δύσκολη απομάκρυνση της ρητίνης 20 10

Απομάκρυνση ρητινών Plasma etching (ξηρή χημική χάραξη) σε Ο 2. Με οργανικούς διαλύτες Πχ. Για θετικές ρητίνες: ακετόνη, τριχλωροαιθυλένιο Π.χ. Για αρνητικές ρητίνες: μεθυλ αιθυλ-κετόνη (CH 3 COC 4 H 9 ) Καθαρισμός της επιφάνειας του υποστρώματος-1 από: Σκόνη από διαδικασίες χάραξης ή κοπής Σκόνη από την ατμόσφαιρα : η φωτολιθογραφία γίνεται σε καθαρό θάλαμο Κατάλοιπα φωτοευπαθών από προηγούμενα βήματα φωτολιθογραφίας : ελαχιστοποιείται με την χημική χάραξη σε πλάσμα οξυγόνου Βακτήρια : ελαχιστοποιείται με την χρήση απιονισμένου Η 2 Ο ά ιάφορα υμένια : Κατάλοιπα διαλυτών ή νερού ή φωτοευπαθών ή υγρών εμφάνισης ή ελαιώδεις ουσίες Σιλικόνη 21 Καθαρισμός της επιφάνειας του υποστρώματος-2 Απομάκρυνση λιπωδών ουσιών 2-5 min σε ακετόνη σε λουτρό υπερήχων 2-5 min σε μεθανόλη σε λουτρό υπερήχων 2-5 min σε DI H 2 O σε λουτρό υπερήχων 30 sec ξέπλυμα σε ροή DI H 2 O Στέγνωμα: τα υποστρώματα με φυγοκέντρηση, τα εργαλεία σε ροή Ν 2 Για λεκέδες που επιμένουν: λάδι, γράσο, κερί 2-5min σε τριχλωροαιθάνιο (TCA) ή τριχλωρο αιθυλένιο (TCE), σε λουτρό υπερήχων πριν από την προηγούμενη διαδικασία Πηγές κινδύνου TCE καρκινογένεση, TCA λιγότερο επικίνδυνο, ακετόνη εύφλεκτη Η μεθανόλη απορροφάται από το δέρμα και είναι τοξική 22 11

Εξελίξεις στην φωτολιθογραφία: Σήμερα είναι εφικτή η κατασκευή δομών 32nm με λ=193nm. Περαιτέρω βελτίωση της διακριτικής ικανότητας με phase-shift masks (δεν τις συζητούμε) & την μέθοδο της εμβάπτισης Immersion (εμβάπτισης/βύθισης) lithography Συνίσταται στην παρεμβολή υγρού μέσου με δείκτη διάθλασης >1 μεταξύ του τελικού φακού και του υποστρώματος. Η διακριτική ικανότητα αυξάνεται κατά παράγοντα ίσο με τον δδ του ρευστού. Γιατί?? (σήμερα χρησιμοποιείται πολύ καθαρό H 2 O που στα 193nm έχει δδ 1,44) l m NA NA n sin Επιτυγχάνονται βελτιώσεις σε σύγκριση με τα «ξηρά» συστήματα: της διακριτικής ικανότητας 30-40% του βάθους εστίασης κατά παράγοντα 2x. Η μέθοδος σημείωσε επιτυχία επειδή εισήχθη εγκαίρως στην βιομηχανία 23 (π.χ. IBM, AMD) μεταξύ των ορίων διακριτικής ικανότητας 65nm και 45nm. Οι επόμενες γενιές της λιθογραφίας Η φωτολιθογραφία χρησιμοποιείται εκτενώς γιατί έχει Μεγάλη παραγωγικότητα γ Καλή διακριτική ικανότητα Χαμηλό κόστος Είναι εύκολη στην εφαρμογή Για την κατασκευή διατάξεων στην νανοκλίμακα έχουν αναπτυχθεί νέες τεχνικές, π.χ. e-beam litho, extreme UV, X-ray litho & ion-beam lithography. 24 12

Φωτολιθογραφία στο extreme UV (13.5nm) Πηγή: πλάσμα διεγειρόμενο από laser ή synchrotron (10-14 nm) Επιτυγχάνει μείωση εύρους γραμμών στα 30 nm χωρίς μείωση της παραγωγικότητας. Τεχνολογικές προκλήσεις: Το φως στο EUV απορροφάται έντονα απαιτείται κενό & τα οπτικά στοιχεία πρέπει να είναι ανακλαστικά (κάτοπτρα) και όχι διαπερατά (φακοί) απαιτείται σχεδιασμός νέων οπτικών στοιχείων Ακόμη και τα καλύτερα κάτοπτρα με πολυστρωματική επικάλυψη Mo-Si έχουν ανακλαστικότητα 72% στα 13.5nm Ακόμη και πολύ μικρές ανωμαλίες στην επιφάνεια οδηγούν σε έκπτωση του ειδώλου. Ανοχή: οι ανωμαλίες πρέπει να είναι μικρότερες των 2nm σε έκταση 30 cm. Συμβαίνουν απώλειες λόγω απορρόφησης οι πηγές πρέπει να είναι πoλύ ισχυρές (>100 W) Πρέπει να σχεδιαστούν καινούριες ανακλαστικές (& όχι απορροφητικές) μάσκες 25 Φωτολιθογραφία στο extreme UV (13.5nm) Τυπική μάσκα στο EUV 26 13

Λιθογραφία ηλεκτρονίων-1 Χρησιμοποιείται σύστημα: SEM στο οποίο διάμετρος της δέσμης των e είναι λίγα nm κατασκευή δομών με πολύ μικρές διαστάσεις επάνω στην ρητίνη Βρίσκει εφαρμογές κυρίως για την κατασκευή μασκών για φωτολιθογραφία ή λιθογραφία ακτίνων Χ, ερευνητικών & πολύπλοκων νανοδομών σε μικρούς αριθμούς. Ρητίνες: πολυμερή με συμπεριφορά παρόμοια με τις ρητίνες για φωτολιθογραφία λθ 27 e-beam Lithography - πλεονεκτήματα εν απαιτείται η χρήση μάσκας Η διακριτική ικανότητα δεν επηρεάζεται από φαινόμενα περίθλασης ( το λ των e με ενέργεια > kev είναι < 0.1 nm) και μπορεί να φτάσει τα 5nm. Η σάρωση της δέσμης γίνεται ηλεκτρονικά υψηλός βαθμός αυτοματοποίησης & ακριβής έλεγχος λειτουργίας Μεγαλύτερο βάθος πεδίου από την φωτολιθογραφία Έχουν επιτευχθεί διακριτικές ικανότητες 100nm και δομές 10nm. 28 14

e-beam Lithography μειονεκτήματα Είναι πολύ βραδεία μικρή παραγωγικότητα: 10 wafers/hr με διακριτική <0.25 μm δεν μπορεί να αντικαταστήσει την φωτολιθογραφία για ταχεία παραγωγή κυκλωμάτων Είναι πολύ ακριβή. Ένα σύστημα στοιχίζει 5-10 ΜUS$ Η διακριτική μειώνεται από φαινόμενα σκέδασης των ηλεκτρονίων (proximity effect) Έχει διακριτική ικανότητα συγκρίσιμη με καλά συστήματα φωτολιθογραφίας Γίνεται σε περιβάλλον κενού αύξηση κόστους & δυσκολίας χειρισμού 29 Λιθογραφία ηλεκτρονίων-2 Proximity effect: Η διακριτική ικανότητα επιδεινώνεται λόγω σκέδασης δευτερογενών e στην ρητίνη που προσβάλλουν την ρητίνη ακόμη και όταν η ενέργεια τους είναι λίγα ev. Το φαινόμενο περιορίζει την ελάχιστη απόσταση μεταξύ διαδοχικών δομών. Παράδειγμα : Τροχιές 100 ηλεκτρονίων με ενέργεια 20 kev σε ρητίνη PMMA πάχους 0.4μm. Τα e κατανέμονται σε όγκο με διάμετρο συγκρίσιμο με το βάθος διείσδυσης (3.5μm) τα οπισθοσκεδαζόμενα e προσβάλουν την ρητίνη αρκετά μm μακριά απότοκέντροτηςδέσμης. ιόρθωση : με σμίκρυνση των δομών η 30 οποία όμως αυξάνει τον χρόνο σάρωσης. 15

Λιθογραφία ακτίνων Χ Η πηγή των ακτίνων Χ είναι πηγή synchrotron που δίνει παράλληλη δέσμη με υψηλή λαμπρότητα & λ1nm. ιακριτική ικανότητα 30 nm. Η απεικόνιση γίνεται βηματικά, σε κλίμακα 1:1 στην ατμόσφαιρα ή υπό χαμηλή πίεση σε περιβάλλον He. Μάσκες: Tα περισσότερα υλικά απορροφούν έντονα στο 1nm το υπόστρωμα της μάσκας αποτελείται από λεπτή μεμβράνη υλικού (1-2 μm) με χαμηλό Ζ, π.χ. Si ή SiC. Τα απορροφητικά στοιχεία της μάσκας (με πάχος 0.5μm) αποτελούνται από υλικά υψηλού Ζ, π.χ. Au, W, Ta ή κράματα αυτών. Έκθεση σε γεωμετρία proximity Λιθογραφία ακτίνων Χ πλεονεκτήματα Μικρότερα λ από το UV Υψηλή διακριτική ικανότητα Μπορεί να χρησιμοποιήσει αρνητικές και θετικές φωτοευπαθείς Αμελητέα περίθλαση & μεγάλο βάθος εστίασης Οι μάσκες έχουν μεγαλύτερο χρόνο ζωής από ότι στην φωτολιθογραφία Επιτυγχάνονται δομές με μεγάλο aspect ratio 31 Παράδειγμα δομής με μεγάλο aspect ratio Λιθογραφία ακτίνων Χ μειονεκτήματα Τόσο η πηγή όσο και οι μάσκες είναι πολύ ακριβές. Απαιτεί την ανάπτυξη ειδικών μασκών & ρητινών δύσκολη Οι ακτίνες Χ δεν εστιάζονται εύκολα & δεν μπορούν να χρησιμοποιηθούν φακοί Οι μάσκες απορροφούν 25-35% της προσπίπτουσας ροής πρέπει να ψύχονται. Η ρητίνη πάχους 1μm απορροφά 10% της προσπίπτουσας ροής Η ευθυγράμμιση είναι δύσκολη 32 16

Λιθογραφία ιόντων-1 Τα ιόντα έχουν μεγαλύτερη μάζα από τα e και επομένως σκεδάζονται λιγότερο δεν παρατηρούνται φαινόμενα περίθλασης η λιθογραφία ιόντων επιτυγχάνει καλύτερη διακριτική ικανότητα (20-30nm) από την φωτο-, την e-beam & την Χ-ray litho. Κύρια εφαρμογή: επιδιόρθωση μασκών για την οπτική λιθογραφία Πρόβλημα 1: ανάπτυξη φορτίου στο δείγμα διεύρυνση της δέσμης. Πρόβλημα 2: τα ιόντα αλληλεπιδρούν ισχυρά με τον στόχο (π.χ. Ion mixing, amorphization, implantation, sputter etching) Τύποι συστημάτων 1. Σύστημα σάρωσης εστιασμένης δέσμης (π.χ. ιόντα που μπορούν να χρησιμοποιηθούν Ga+, H+). Λειτουργούν όπως τα συστήματα e- beam. 2. Σύστημα που χρησιμοποιεί μάσκα. Χρησιμοποιούνται ελαφρά ιόντα π.χ. 100 kev H +. 2 Λειτουργούν όπως τα συστήματα οπτικής λιθογραφίας. 33 Λιθογραφία ιόντων-2 Proximity effect in e-beam lithography Η λιθογραφία ιόντων δεν πάσχει από φαινόμενα σκέδασης (proximity-effect), όπως φαίνεται από τον υπολογισμό τροχιών 50 Η + ιόντων με ενέργεια 60 kev που εμφυτεύονται σε PMMA και υποστρώματα Au & Si. Η διασπορά των ιόντων σε βάθος 0.4 μm είναι μόνον 0.1μm. Η οπισθοσκέδαση απουσιάζει πλήρως στο PMMA και το Si ενώ είναι πρακτικά αμελητέα στον Au. 34 17

Η διαδικασία κατασκευής ενός n-fet (link) 35 1. Υπόστρωμα: για την κατασκευή FET με κανάλι τύπου n το υπόστρωμα είναι p-si:b 2. Οξείδωση: Αναπτύσσεται (θερμικά) 100 nm SiO 2 : λειτουργεί ως οξείδιο πύλης & ηλεκτρική μόνωση της πύλης του υποστρώματος. 3. Γίνεται εναπόθεση LPCVD Si 3 N 4 που λειτουργεί ως μάσκα κατά την διάρκεια επόμενου σταδίου οξείδωσης. 4. Φωτολιθογραφία: Το Si 3 N 4 επικαλύπτεται με φωτοευπαθή ρητίνη, η οποία εκτίθεται και εμφανίζεται. Η δομημένη ρητίνη που παραμένει λειτουργεί σαν μάσκα για την εγχάραξη που ακολουθεί. 5. Εγχάραξη: Το εκτεθειμένο Si 3 N 4 απομακρύνεται με ξηρή χημική χάραξη (Reactive Ion Etching) 6. Απομάκρυνση της ρητίνης: γίνεται με υγρή χημεία σε διάλυμα υγρών εμφάνισης 36 18

7. Οξείδωση: Το Si 3 N 4 λειτουργεί ως μάσκα κατά την διάρκεια της οξείδωσης η υγρή οξείδωση επιδρά στα εκτεθειμένα παράθυρα του οξειδίου πύλης. Το οξείδιο λειτουργεί ως εγκάρσια μόνωση μεταξύ γειτονικών διατάξεων. 8. Υγρή χημική χάραξη του Si 3 N 4 9. LPCVD ανάπτυξη poly-si : ηλεκτρόδιο πύλης. 10. Φωτολιθογραφία: Το poly-si επικαλύπτεται με φωτοευπαθή ρητίνη που εκτίθεται και εμφανίζεται διαμόρφωση του poly-si. 37 11. Χημική χάραξη: Η ρητίνη λειτουργεί ως μάσκα και η πύλη διαμορφώνεται με ξηρή χημική χάραξη (απομάκρυνση της περίσσειας poly-si) 12. Απομάκρυνση της ρητίνης με υγρή χημεία 13. Οξείδωση: εναπόθεση λεπτού οξειδίου που λειτουργεί ως μονωτής για το ηλεκτρόδιο πύλης και τo επόμενο βήμα κατασκευής της πηγής και του απαγωγού με εμφύτευση ιόντων. 14. Εμφύτευση ιόντων P(τύπου n) για την κατασκευή πύλης και απαγωγού. Το ηλεκτρόδιο πύλης λειτουργεί ως μάσκα κατά την διάρκεια της εμφύτευσης και το εύρος του καθορίζει το μήκος του καναλιού ανάμεσα στην πηγή και τον απαγωγό (self alignment/αυτόευθυγράμμιση) 38 19

15. Οξείδωση: Εναπόθεση LPCVD SiO 2 που λειτουργεί ως μονωτικό και έχει καλή stepcoverage. 16. Φωτολιθογραφία & χημική χάραξη: επικάλυψη του SiO 2 με ρητίνη, έκθεση, διαμόρφωση. Χρησιμοποιείται ισότροπη χημική χάραξηπουδίνει στρογγυλεμένες γωνίες. 17. Ανισότροπη χημική χάραξη: χρησιμοποιείται για το άνοιγμα των οπών που θα χρησιμοποιηθούν για την κατασκευή επαφών. 18. Επιμετάλλωση: Οι οπές για τις ηλεκτρικές επαφές γεμίζουν με Al (γκρί) με την μέθοδο sputtering 39 19. Φωτολιθογραφία: Το Al επικαλύπτεται με ρητίνη (κόκκινη) που εκτίθεται και διαμορφώνεται. 20. Ανισότροπη χημική χάραξη: χρησιμοποιείται για την απομάκρυνση της περίσσειας Al (γκρί) 21. Απομάκρυνση της ρητίνης. Οι επαφές Al παραμένουν για την ενεργοποίηση του τρανζίστορ. 40 20

Συνολικά 8 στάδια ανάπτυξης/προσθήκης υλικού: οξείδωση, LPCVD Si 3 N 4, LPCVD poly-si, εμφύτευση ιόντων, sputtering 9 στάδια απομάκρυνσης υλικού 3 ξεχωριστά στάδια απομάκρυνσης ρητίνης 6 στάδια χημικής χάραξης 4 στάδια φωτολιθογραφίας: κρίσιμη η ευθυγράμμιση της μάσκας στα διαδοχικά στάδια 41 21