ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων



Σχετικά έγγραφα
ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ Χ. Βέργος Καθηγητής

ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

Πανεπιστήμιο Θεσσαλίας- Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ430- Εργαστήριο Ψηφιακών Κυκλωμάτων

Χ. Σωτηρίου. Σχήμα 1: Απλή Εικόνα Δοκιμής Ελεκτή/Οδηγού VGA

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

2.0 ΒΑΣΙΚΕΣ ΓΝΩΣΕΙΣ-ΟΡΟΛΟΓΙΕΣ

Τμήμα Λογιστικής. Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. Μαθήματα 6 και 7 Αναπαράσταση της Πληροφορίας στον Υπολογιστή. 1 Στέργιος Παλαμάς

Εικόνα. Τεχνολογία Πολυμέσων και Πολυμεσικές Επικοινωνίες 05-1

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

2. Ο νόμος του Ohm. Σύμφωνα με το νόμο του Ohm, η τάση V στα άκρα ενός αγωγού με αντίσταση R που τον διαρρέει ρεύμα I δίνεται από τη σχέση: I R R I

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

Analog vs Digital. Δούρβας Ιωάννης ΙΩΑΝΝΗΣ ΔΟΥΡΒΑΣ

Α.Τ.Ε.Ι. Ηρακλείου Ψηφιακή Επεξεργασία Εικόνας ιδάσκων: Βασίλειος Γαργανουράκης. Ανθρώπινη Όραση - Χρωµατικά Μοντέλα

Β2.5 Οθόνες. Εικόνα 46 Μια οθόνη αποτελείται από εικονοστοιχεία, το καθένα από αυτά έχει τρείς φωτεινές πηγές, για κόκκινο, πράσινο και μπλε χρώμα

Ζωγραφίζοντας με τους αριθμούς - Η αναπαράσταση των εικόνων

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Εισαγωγή στην επιστήμη των υπολογιστών. Υπολογιστές και Δεδομένα Κεφάλαιο 2ο Αναπαράσταση Δεδομένων

Σημειώσεις για την Άσκηση 2: Μετρήσεις σε RC Κυκλώματα

Έγχρωµο και Ασπρόµαυρο Φως

Εικόνες και γραφικά. Τεχνολογία Πολυµέσων 05-1

Παραδείγματα σχεδίασης με μηχανές πεπερασμένων καταστάσεων

Σχεδιασμός εκτυπώσεων ERG

Κεφάλαιο 1: Κίνηση και γεωμετρικά σχήματα

ΕΝΟΤΗΤΑ ΤΗΛΕΟΡΑΣΗ ΕΙΣΑΓΩΓΗ

TEI Ιονίων Νήσων Τμήμα Τεχνολογίας Τροφίμων Πληροφορική Σημειώσεις Τεύχος 2. Μάκης Σταματελάτος

ΑΣΚΗΣΗ-3: ΣΧΗΜΑΤΑ LISSAJOUS

ΕΡΓΑΣΤΗΡΙΟ ΦΥΣΙΚΗΣ ΓΙΑ ΗΛΕΚΤΡΟΝΙΚΟΥΣ ΜΗΧΑΝΙΚΟΥΣ. 10 ο Εργαστήριο Εισαγωγή στον παλμογράφο

C11 Μετρητής Ενέργειας. Οδηγίες χρήσης

Βασικές έννοιες. Αναλογικό Βίντεο. Ψηφιακό Βίντεο. Κινούμενα γραφικά (animation)( Πλαίσιο (frame, καρέ) Ρυθμός πλαισίων (frame rate)

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ Α ΤΑΞΗ

Τελευταία(μεταβολή:(Αύγουστος(2013( 11

Ένα αναλογικό σήμα περιέχει άπειρες πιθανές τιμές. Για παράδειγμα ένας απλός ήχος αν τον βλέπαμε σε ένα παλμογράφο θα έμοιαζε με το παρακάτω:

Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε.

Πανεπιστήμιο Θεσσαλίας- Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ430- Εργαστήριο Ψηφιακών Κυκλωμάτων

Workshops. Εισηγητής: Παλιούρας Αριστείδης

7 ο Εργαστήριο Θόρυβος 2Δ, Μετακίνηση, Περιστροφή

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

Φύλλο εργασίας 4 - Δημιουργώ τα δικά μου χρώματα με το RGB LED

Σχεδιασμός εκτυπώσεων ERG

Σχεδίαση με Ηλεκτρονικούς Υπολογιστές

Οδηγίες για το SKETCHPAD Μωυσιάδης Πολυχρόνης - Δόρτσιος Κώστας. Με την εκτέλεση του Sketchpad παίρνουμε το παρακάτω παράθυρο σχεδίασης:

ΑΣΚΗΣΗ 2 ΒΑΣΙΚΑ ΚΑΙ ΣΥΝΘΕΤΑ ΣΗΜΑΤΑ ΔΥΟ ΔΙΑΣΤΑΣΕΩΝ - ΕΙΚΟΝΑΣ

Συλλογή μεταφορά και έλεγχος Δεδομένων ΘΟΡΥΒΟΣ - ΓΕΙΩΣΕΙΣ

[2] Υπολογιστικά συστήματα: Στρώματα. Τύποι δεδομένων. Μπιτ. επικοινωνία εφαρμογές λειτουργικό σύστημα προγράμματα υλικό

Τεχνολογία Πολυμέσων. Ενότητα # 5: Εικόνα Διδάσκων: Γεώργιος Ξυλωμένος Τμήμα: Πληροφορικής

1. Τι είναι η Κινηματική; Ποια κίνηση ονομάζεται ευθύγραμμη;

Κίνηση ΚΕΦΑΛΑΙΟ 2 Β ΓΥΜΝΑΣΙΟΥ

Ολοκληρωμένα Κυκλώματα

Γραφικά υπολογιστών Εργαστήριο 10 Εισαγωγή στα Sprites

Μάθημα 3.8 Τεχνικές μεταφοράς δεδομένων Λειτουργία τακτικής σάρωσης (Polling) Λειτουργία Διακοπών DMA (Direct Memory Access)

1 ο Εργαστήριο Συντεταγμένες, Χρώματα, Σχήματα

ΕΠΛ 003: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΕΠΙΣΤΗΜΗ ΤΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ. Αναπαράσταση δεδομένων

Εκπαιδευτική Ρομποτική με ARDUINO. για εκπαιδευτικούς και μαθητές. 1o Μέρος: Απλά Κυκλώματα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Πράξη ια «Ανάπτυξη και Εφαρμογή Προγραμμάτων Επίδειξης και Βράβευσης Εκπαιδευτικών Έργων ΤΕΕ & ΣΕΚ» ΚΑΤΑΣΚΕΥΗ ΟΠΤΙΚΟΥ ΦΑΣΜΑΤΙΚΟΥ ΑΝΑΛΥΤΗ

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Άρα προσπαθούμε να αγοράσουμε, από τα κέρδη μας πάντα, μεγαλύτερα πάνελς για να έχουμε περισσότερα κέρδη.

Τι θα απαντούσατε αλήθεια στην ίδια ερώτηση για την περίπτωση της επόμενης εικόνας;

Γεωργάκης Αριστείδης ΠΕ20

ΜΕΡΟΣ Α: Απαραίτητε γνώσει

1. Εισαγωγή. 2. Προσοχή! Αντενδείξεις: 1) Παρακαλούμε, μην επιχειρήσετε να ανοίξετε την κάμερα

Οδηγίες για το CABRI - GEOMETRY II Μωυσιάδης Πολυχρόνης - Δόρτσιος Κώστας

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΠΑΛΜΟΚΩΔΙΚΗ ΔΙΑΜΟΡΦΩΣΗ - PCM (ΜΕΡΟΣ Α)

Lab 1: Experimenting on Arduino & AI Sense

Μαθηματικά: Αριθμητική και Άλγεβρα. Μάθημα 7 ο, Τμήμα Α

ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΠΑΝΑΓΙΩΤΗΣ ΚΟΥΤΣΙΩΡΑΣ Α.Μ.: ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ. Αναφορά Πρακτικής Εργασίας: Μετατροπέας Κώδικα BCD Σε Κώδικα GRAY

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Μέρος Β - Δίκτυα. Ασκήσεις I. Ποιος ο ρόλος του πομπού και του δέκτη στο μοντέλο επικοινωνίας που α- πεικονίζεται στο σχήμα που ακολουθεί; Μ Δεδομένα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

Εφαρμογές Αναλογικών Ε/Ε PWM (pulse Width Modulation)

Α. Θα καλεί υποπρόγραμμα INPUT που θα διαβάζει τις τιμές του πίνακα MAP.

ΧΡΩΜΑΤΙΚΟΣ ΤΑΞΙΝΟΜΗΤΗΣ ΜΕ ΡΟΜΠΟΤΙΚΟ ΒΡΑΧΙΟΝΑ ΚΑΙ ΧΡΗΣΗ ΤΟΥ ΜΙΚΡΟΕΛΕΓΚΤΗ BASIC STAMP ΤΗΣ PARALLAX

Διαγράμματα. Νίκος Σκουλίδης, Σημειώσεις Φυσικής Α` Γυμνασίου, , Διαγράμματα_1_0.docx

Εμφανίζονται 3 επιλογές με 3 εικονίδια, η «ζωγραφική», η «εισαγωγή» και η «κάμερα».

Μοντέλο Επικοινωνίας Δεδομένων. Επικοινωνίες Δεδομένων Μάθημα 6 ο

7 η διάλεξη Ακολουθιακά Κυκλώματα

7.Α.1 Παρουσιάσεις. 7.Α.2 Περιγραφή περιεχομένων της εφαρμογής

ΦΥΣΙΚΗ ΠΡΟΣΑΝΑΤΟΛΙΣΜΟΥ

ΕΝΤΟΛΕΣ. 7.1 Εισαγωγικό μέρος με επεξήγηση των Εντολών : Επεξήγηση των εντολών που θα

Εφαρμογές Πληροφορικής

Εισαγωγή στην εφαρμογή Βασική Σελίδα (Activity) Αναζήτηση Πελάτη... 6 Προβολή Πελάτη... 7 Επεξεργασία Πελάτη... 10

Μελέτη και έλεγχος της διατήρησης της μηχανικής ενέργειας στην ελεύθερη πτώση σώματος. (Ανάλυση video μέσω του Σ.Σ.Λ.Α, LoggerPro της Vernier)

7.1 Θεωρητική εισαγωγή

Εγχειρίδιο Χρήστη. Ιούνιος Σελίδα - 1 -

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

NEW ΣΥΣΚΕΥΕΣ ΘΕΡΜΙΚΗΣ ΑΠΕΙΚΟΝΙΣΗΣ HD38S LD38S HD19S LD19S Θεσσαλονίκη

Χρήση του Παλμογράφου

Transcript:

Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Ελεγκτής VGA οθόνης και αντιμετώπιση μεγαλύτερων κυκλωμάτων Συνεχίζοντας από την 3 η άσκηση, σκοπός αυτού του εργαστηρίου είναι να καταφέρετε να απεικονίζεται την ταχύτητα με την οποία αναβοσβήνει το LED σε μια VGA οθόνη αντί των seven-segment displays της πλακέτας. Το κύριο μέρος του κυκλώματος σας παραμένει το ίδιο με την προηγούμενη άσκηση. Το μόνο σημείο που αλλάζει είναι το κομμάτι του Display (που σας είχε δοθεί έτοιμο στην προηγούμενη άσκηση) και το μέγεθος του BeatCounter τον οποίο σχεδιάσατε μέσα στον Flash controller. Επίσης θα προσθέσετε και το μικρό κύκλωμα CD το οποίο θα σας δοθεί έτοιμο. Αυτό που καλείστε να σχεδιάσετε είναι το κύκλωμα του Display, η λειτουργία του οποίου θα αναλυθεί διεξοδικά στις επόμενες ενότητες. Όσον αφορά τώρα στον BeatCounter, εφόσον το νέο κύκλωμα δέχεται σαν είσοδο το Clock με συχνότητα 25ΜΗz για να μετρήσετε το 1/32ο του δευτερολέπτου που ζητείται από το FlashController, θα πρέπει να τροποποιήσετε το BeatCounter ώστε να έχει μέγεθος 20 δυαδικά ψήφία. Στην προηγούμενη άσκηση θεωρούσαμε πως ο χρόνος αυτός μπορούσε να επιτευχθεί με έναν μετρητή των 19 δυαδικών ψηφίων εφόσον το ρολόι που είχαμε στην διάθεση μας είχε συχνότητα ίση με 17ΜHz UP Button Press PulseUP LED DOWN NEXT Button Press Button Press PulseDown PulseNext FlashController SpeedA SpeedB Display VSYNC HSYNC R G B Clock CD Clock Reset Σχήμα 1: Το μπλοκ διάγραμμα του κυκλώματος που θα υλοποιήσετε. Απεικόνιση δεδομένων στην οθόνη VGA Πριν αναλύσουμε τη λειτουργία του κυκλώματος Display, θα περιγράψουμε αναλυτικά τη λειτουργία των οθονών VGA και τον τρόπο επικοινωνίας μαζί τους. Αυτό που παρατηρούμε σε μία οθόνη δε είναι τίποτε άλλο από μια συνεχόμενη αλληλουχία εικόνων (καρέ) οι οποίες επαναλαμβάνονται με ένα συγκεκριμένο ρυθμό, δείνοντας έτσι την εντύπωση μιας σταθερής εικόνας στο ανθρώπινο μάτι. O ρυθμός ανανεώσης των καρέ καλείται Refresh Rate και παίρνει τιμές από 60 έως 90 Hz. Με άλλα λόγια, κάθε δευτερόλεπτο στην οθόνη απεικονίζονται από 60 έως 90 καρέ. Κάθε καρέ (frame)-όπως φαίνεται στο σχήμα 2-είναι χωρισμένο σε έναν πίνακα από κουκίδες (pixels) οι οποίες 1

είναι οργανωμένες σε και στήλες. Το γινόμενο των γραμμών του κάθε καρέ και το πλήθος των pixels που περιλαμβάνει κάθε γραμμή καθορίζουν την ανάλυση της οθόνης. HSYNC pulse Changes Line FrameN NumberOfRows Frame2 Frame1 Frame0 (0,479) (3,477) (639,479) NumberOfColumns VSYNC pulse Changes Frame (0,0) (639,0) Σχήμα 2: Γραφική αναπαράσταση των pixels μιας εικόνας μαζί με την αλληλουχία των εικόνων και του τρόπου εναλλαγής τους. Στις μέρες μας συνηθέστερη ανάλυση είναι αυτή των 1024x768 pixels. Δηλαδή κάθε καρέ που στέλνεται στην οθόνη αποτελείται από 1024 και κάθε γραμμή αποτελείται από 768 pixels. Με άλλα λόγια κάθε καρέ αποτελείται από 786432 pixels. Όπως θα δούμε στη συνέχεια η στοχευόμενη ανάλυση και ο ρυθμός ανανέωσης μας υποδεικνύουν τη συχνότητα λειτουργίας του ελεγκτή της οθόνης δηλαδή τη συχνότητα του Clock. Προφανώς είναι εύκολο να αντιληφθήτε πως η όποια αύξηση της ανάλυσης ή του RefreshRate συνεπάγεται την ταυτόχρονη αύξηση της συχνότητας του pixel clock. Στο παράδειγμα του σχήματος 2 η ανάλυση της οθόνης ισούται με 640x480. Το pixel (0,0) θεωρούμε πως βρίσκεται στην πάνω αριστερή πλευρά της οθόνης ενώ το τελευταίο (639,479) (γραμμή 693, στήλη 479) βρίσκεται στην κάτω δεξιά. Σε κάθε pixel μπορούμε να αναπαραστήσουμε και ένα διαφορετικό χρώμα. Τα τρία βασικά χρώματα είναι το κόκκινο Red, το πράσινο Green και το μπλε Blue. Οποιαδήποτε άλλα χρώματα προκύπτουν από ένα σταθμισμένο συνδιασμό των τριών βασικών χρωμάτων. Για να πετύχουμε τέτοιους ενδιάμεσους συνδιασμούς θα πρέπει να αναπαραστήσουμε τη χρωματική πληροφορία του κάθε pixel με περισσότερα δυαδικά ψηφία τα οποία πρέπει να συνδιαστούν μέσα από έναν μετατροπέα ψηφιακού σήματος σε αναλογικό, ώστε να μεταφέρουν στην οθόνη την απαιτούμενη πληροφορία. Στην άσκηση αυτή θεωρούμε πως μπορούμε να προβάλλουμε 8 διαφορετικά χρώματα. Αυτό το πετυχαίνουμε οδηγώντας τα τρία καλώδια του χρώματος R, G, και B στις ζητούμενες τιμές. Για παράδειγμα η τιμή (R, G, B) = (0, 0, 1) θα δόσει το χρώμα μπλε σε ένα pixel ενώ η (1, 0, 1) θα είναι πιο κοντά στο μώβ. Επίσης με την τιμή (0, 0, 0) θεωρούμε πως δεν στέλνουμε χρώμα στο pixel οπότε εμφανίζεται σβηστό (μαύρο) ενώ με την τιμή (1, 1, 1) το εμφανίζουμε λευκό. 2

Τα pixel φωτίζονται με το επιλεγμένο χρώμα με μια αυστηρή σειρά σάρωσης. H σάρωση διατρέχει μία-μία τις του κάθε καρέ από τα αριστερά προς τα δεξιά. Όταν φτάσουμε στο τελευταίο (πιο δεξιά) pixel της κάθε γραμμής επανερχόμαστε στην αρχή (αριστερή πλευρά) της επόμενης. Μετά το τελευταίο pixel της τελευταίας γραμμής (κάτω δεξιά στην οθόνη) η νοητή γραφίδα που ζωγραφίζει ένα-ένα τα pixel επανέρχεται στην πάνω αριστερή γωνία της οθόνης αναλαμβάνοντας την αναπαράσταση του επόμενου καρέ. Συγχρονισμός της VGA οθόνης Για να μπορέσουμε να εμφανίσουμε στην οθόνη την πληροφορία που θέλουμε είμαστε υποχρεωμένοι να σεβαστούμε κάποιους κανόνες χρονισμού που θέτει το πρότυπο VGA. O συγχρονισμός της οθόνης, επιτυγχάνεται μέσω της οδήγησης από τον ελεγκτή των σημάτων οριζόντιου (HSYNC) και κάθετου (VSYNC) συγχρονισμού. Το μεν πρώτο ενεργοποιείται κάθε φορά που χρειάζεται να αλλάξουμε γραμμή κατά τη σάρωση ενός frame, ενώ το δεύτερο, όταν έχει ολοκληρωθεί η προβολή ενός ολόκληρου καρέ (frame). Κάθε γραμμή προβολής ξεκινά με την ενεργή περιοχή προβολής, χρόνος κατά τον οποίο στέλνουμε τις τιμές RGB που θέλουμε να εμφανιστούν σε κάθε pixel. H ενεργή περιοχή ακολουθείται από την περιοχή αμαύρωσης (blanking period) κατά την οποία στέλνουμε το μαύρο χρώμα σε κάθε pixel clock. O χρόνος αμαύρωσης είναι απαραίτητος ώστε να επανέλθει η υποτιθέμενη γραφίδα που εμείς κινούμε από το τέλος της μιας γραμμής στην αρχή της επόμενης. Κατά τη διάρκεια της περιόδου αμαύρωσης, μεταδίδουμε έναν παλμό HSYNC. Ο χρόνος της περιοχής αμαύρωσης πριν τον παλμό ΗSYNC καλείται front porch ενώ ο χρόνος μετά τον παλμό ονομάζεται back porch. Προσέξτε πως το σήμα HSYNC ενεργοποιείται στο λογικό-0 ενώ στις άλλες περιοχές παίρνει τιμή ίση με το λογικό-1. Σχήμα 3: Το σήμα συγχρονισμού των γραμμών Τα διαδοχικά καρέ που θέλουμε να εμφανίσουμε στην οθόνη εμφανίζονται με αντίστοιχο τρόπο όπως και τα διαδοχικά pixels μέσα σε κάθε γραμμή. Η αρχή του κάθε καρέ αποτελείται από όλες τις που θέλουμε να δείξουμε στην οθόνη. Όταν τελειώσουμε με την αποστολή όλων των γραμμών και θέλουμε να μεταβούμε στο επόμενο καρέ, είμαστε υποχρεωμένοι να στείλουμε ένα σύνολο από άδειες, έτσι ώστε να δώσουμε το χρόνο στην υποτιθέμενη γραφίδα να μεταβεί από το κάτω δεξιά μέρος της οθόνης (τελευταία pixel της τελευταίας γραμμής) στην αρχή (πανω αριστερά). Ο χρόνος όπου στέλνουμε άδειες χωρίζεται και αυτός σε τρία μέρη. Αρχικά είναι το vertical front porch, στη συνέχεια ο παλμός κάθετου συγχρονισμού (VSYNC), και στη συνέχεια το vertical back porch. Σχήμα 4: Το σήμα συγχρονισμού των καρέ Προσέξτε πως η διάρκεια του vertical front porch, του vertical back porch και του παλμού VSYNC μετρώνται σε πλήθος γραμμών. Αντίθετα, για την περίπτωση της κάθε γραμμής, οι τιμές του front porch, του back porch και του παλμού HSYNC μετρώνται σε pixel clocks. 3

Ανάλογα με την ανάλυση που θέλουμε να πετύχουμε (πλήθος pixel ανά γραμμή) x (πλήθος γραμμών) και το Refresh Rate, είμαστε υποχρεωμένοι από το standard των VGA οθονών να ακολουθήσουμε πιστά τους χρονισμούς για τους παλμούς HSYNC και VSYNC, καθώς και για τις περιοχές front και back porch. Οι ζητούμενες τιμές για διάφορες τιμές της ανάλυσης μαζί με τη ζητούμενη συχνότητα του Clock φαίνονται στον πίνακα που ακολουθεί. Format Πίνακας 1: Οι παράμετροι που προβλέπει το πρότυπο της VGA Clock (MHz) Active Video Horizontal (in s) Front Sync Pulse Back Active Video Vertical (in Lines) Front Sync Pulse 640x480, 60Hz 25.175 640 16 96 48 480 11 2 31 640x480, 72Hz 31.500 640 24 40 128 480 9 3 28 640x480, 75Hz 31.500 640 16 96 48 480 11 2 32 640x480, 85Hz 36.000 640 32 48 112 480 1 3 25 800x600, 56Hz 38. 800 32 128 128 600 1 4 14 800x600, 60Hz 40.000 800 40 128 88 600 1 4 23 800x600, 72Hz 50.000 800 56 120 64 600 37 6 23 800x600, 75Hz 49.500 800 16 80 160 600 1 2 21 800x600, 85Hz 56.250 800 32 64 152 600 1 3 27 1024x768, 60Hz 65.000 1024 24 136 160 768 3 6 29 1024x768, 70Hz 75.000 1024 24 136 144 768 3 6 29 1024x768, 75Hz 78.750 1024 16 96 176 768 1 3 28 1024x768, 85Hz 94.500 1024 48 96 208 768 1 3 36 Back Στην άσκηση θα επικεντρωθούμε στην ανάλυση 640x480 στα 60Ηz refresh rate, τα χαρακτηριστικά της οποίας περιγράφονται στην πρώτη γραμμή του πίνακα. Τα νούμερα που δίνονται στη γραμμή αυτή αναλύονται ως εξής: Έχουμε στη διάθεση μας 640 κύκλους του pixel clock στους οποίους μπορούμε να χρωματίζουμε το κάθε pixel με ένα από τα χρώματα Red Green ή Blue ή συνδιασμούς αυτών, ενώ στους επόμενους 16+96+48=160 κύκλους (front porch, sync pulse και back porch) στέλνουμε το μαύρο χρώμα (R=0 G=0 B=0). Ενδιάμεσα, δηλαδή μετά τον 16 ο κύκλο του front porch και για 96 κύκλους, οδηγούμε το σήμα HSYNC από 1 σε 0. Επαναφέροντας μετά από 96 κύκλους το HSYNC στο 1 περιμένουμε άλλους 48 κύκλους, όσο το back porch, πριν αρχίσουμε να ξαναστέλνουμε τις τιμές για τα ενεργά pixels. Επομένως για κάθε γραμμή ξοδεύουμε 640+160=800 κύκλους του pixel clock. H ίδια διαδικασία ακολουθείται για την αλλαγή των καρέ. Το μόνο που αλλάζει σε αυτή την περίπτωση είναι πως ο ρυθμός των αλλαγών καθορίζεται από το πλήθος των γραμμών. Σημειώστε πως μια γραμμή αποτελείται από 800 περιόδους του ρολογιού και όχι μόνο από τις 640 που φαίνονται στην οθόνη. Έτσι, σύμφωνα με τον πίνακα έχουμε στη διάθεση μας 480 για να στείλουμε δεδομένα στην οθόνη, ενώ στη συνέχεια ακολουθεί η περιοχή αμαύρωσης από καρέ σε καρέ η οποία αποτελείται από το vertical front porch, τον παλμό VSYNC και το vertical back porch. Συνολικά οι που πρέπει να μετρήσουμε είναι 480+11+2+31=524. Άρα ουσιαστικά το συνολικό πλήθος από περιόδους του pixel clock που χρειάζονται για ένα καρέ είναι 800 pixels clocks/γραμμή x 524 γραμμή/καρέ = 419200 pixels clocks. Εφόσον θέλουμε να προβάλουμε 60 καρέ το δευτερόλεπτο (refresh rate = 60Hz), τότε σε ένα δευτερόλεπτο περνάνε 419200 pixel clocks/καρέ x 60 καρέ/sec = 25152000 pixel clocks/sec δηλαδή 1 pixel κάθε 39.76nsec. Από αυτό προκύπτει πως το σύστημα μας για να μπορέσει να υποστήριξει την αποστολή αυτού του όγκου των δεδομένων πρέπει να λειτουργεί 4

με ένα ρολόι με περίοδο 39.76nsec δηλαδή 25MHz, οπως φαίνεται στην πρώτη γραμμή της δέυτερης στήλης του πίνακα. Οι ακριβείς τιμές που δίνονται στον πίνακα δεν είναι απόλυτα δεσμευτικές (μπορείτε να έχετε μία απόκλιση +-/1-3 μονάδες). Για παράδειγμα, σε CRT οθόνες το χρονικό εύρος των front και back porches καθορίζει τη θέση της προβαλλόμενης εικόνας στην οθόνη. Στην περίπτωση που η εικόνα εμφανίζεται στην οθόνη με κάποια απόκλιση προς τα δεξιά/αριστερά ή πάνω/κάτω προσπαθήστε να καθορίσετε τις τιμές των front και back porch (τόσο των κατα γραμμή όσο και των ανά καρέ) ώστε να δείτε ένα πιο κεντραρισμένο αποτέλεσμα. Αντίθετα, σε γενικές, οι LCD oθόνες κεντράρουν την εικόνα αυτόματα. Η λειτουργία του κυκλώματος Το κύκλωμα του Display δέχεται σαν είσοδο τις τιμές της ταχύτητας με τις οποίες αναβοσβήνει το LED μαζί με το ρολόι και ένα σήμα αρχικοποίησης και παράγει 5 σήματα στην έξοδο του. Τα δύο από αυτά, το HSYNC και το VSYNC χρησιμοποιούνται για το χρονισμό της VGA οθόνης, ενώ τα σήματα R, G, και Β κωδικοποιούν το χρώμα που θέλετε να αναπαραστήσετε για κάθε pixel. Σε αυτό το σημείο θα περιγράψουμε τον τρόπο με τον οποίο θα αναπαρίσταται η ταχύτητα στην οθόνη. Υπενθυμίζουμε πως το LED μπορεί να αναβοσβήνει με 7 διαφορετικούς ρυθμούς. Ο πιο γρήγορος είναι ο 1 φορά κάθε 1/8 ο του δευτερολέπτου και ο πιο αργός είναι 1 φορά κάθε 8 δευτερόλεπτα. Οι τιμές αυτές περιγράφονται από ένα διάνυσμα των 7 δυαδικών ψηφίων, στο οποίο μόνο μία θέση είναι ενεργοποιημένη κάθε φορά (αναπαράσταση one-hot). H ταχύτητα που έχουμε επιλέξει για το άναμμα του LED θέλουμε να εμφανίζεται στην οθόνη σαν μια μπάρα το μήκος της οποίας μειώνεται η αυξάνεται ανάλογα με την ταχύτητα που έχουμε επιλέξει. Η μπάρα αυτή θα έχει ύψος 64 και το μήκος της θα μεταβάλλεται ανάλογα με την ταχύτητα. Η πιο χαμηλή ταχύτητα 1 αναβόσβησμα κάθε 8 δευτερόλεπτα θα εμφανίζεται σαν ένα κουτάκι με μήκος 32 pixel ενώ η μεγαλύτερη ταχύτητα 1 αναβόσβησμα κάθε 1/8ο δευτερολέπτου θα εμφανίζεται σαν μια γραμμή με μήκος 7 φορές μεγαλύτερο 7x32 = 224 pixels. 7 x 32 64 pixels pixels Σχήμα 5: Η αναπαράσταση του εύρους της ταχύτητας στην οθόνη Εφόσον θέλουμε να υποστηρίζουμε δύο διαφορετικούς ρυθμούς λειτουργίας θα πρέπει στην οθόνη να εμφανίζουμε δύο τέτοιες μπάρες η μία κάτω από την άλλη και με διαφορετικό χρώμα. Η απόσταση τους καλό είναι να είναι τουλάχιστον 60. Η ζητούμενη τοποθέτηση των ενδείξεων στην οθόνη φαίνεται στο σχήμα που ακολουθεί. Εξηγώντας σύντομα αυτό που φαίνεται στο σχήμα ξεκινούμε να ζωγραφίζουμε το πρώτο pixel της πρώτης μπάρας όταν η νοητή γραφίδα που σαρώνει την οθόνη φτάσει στη γραμμή 160 και στο pixel 120 αυτής. Αν η ταχύτητα ήταν η μικρότερη τότε θα ζωγραφίζαμε σε αυτή τη γραμμή μέχρι και το pixel 120 + 32 = 152. Την ίδια ακριβώς λειτουργία θα επαναλαμβάναμε και για τις επόμενες. Δηλαδή αν δεν είχε μεταβληθεί ενδιάμεσα η ταχύτητα τότε για την πρώτη μπάρα το τελευταίο pixel με μπλε χρώμα θα ήταν στη γραμμή 260 και στη στήλη 152. Επαναλαμβάνουμε την ίδια διαδικασία για τη 2 η μπάρα ξεκινώντας όμως αυτή τη φορά από τη γραμμή 360 (160 κενές + η 1 η μπάρα + άλλες κενές ). 5

120 pixels Πάνω αριστερή γωνία της 1ης μπάρας Κάτω δεξιά γωνία της 1ης μπάρας για μεγαλύτερη ταχύτητα 160 Πάνω αριστερή γωνία της 2ης μπάρας 32 pixels Τα σήμεια που φτάνουν οι μπάρες ανάλογα με την ταχύτητα (Πολλαπλάσια του 32) Κάτω δεξιά γωνία της 2ης μπάρας για μεγαλύτερη ταχύτητα Σχήμα 6: Η συνολική οργάνωση της πληροφορίας που θα προβάλλεται στην οθόνη. 6