Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013

Σχετικά έγγραφα
Εισαγωγή στο Εργαστήριο Υλικού

ΕΙΣΑΓΩΓΗ ΣΤΟ ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ ΨΗΦΙΑΚΗΣ ΣΧΕ ΙΑΣΗΣ (Εβδοµάδα 2)

Οδηγίες εγκατάστασης και χρήσης του Quartus

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Ψηφιακά Ηλεκτρονικά. Μάθηµα 2ο.. Λιούπης

Διδάσκoντες: Γιώργος Ζάγγουλος και Λάζαρος Ζαχαρία. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

ΕΙΣΑΓΩΓΗ ΣΤΟ ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ ΨΗΦΙΑΚΗΣ ΣΧΕΔΙΑΣΗΣ. Στόχοι

Εργαστήριο Κυκλωµάτων και Μετρήσεων ΗΜΥ203

Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων

1.1 Θεωρητική εισαγωγή

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΜΥ Εργαστήριο Κυκλωµάτων και Μετρήσεων. Πανεπιστήμιο Κύπρου. Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ ΨΗΦΙΑΚΗΣ ΣΧΕ ΙΑΣΗΣ. Στόχοι

ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017)

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Ψηφιακά Ηλεκτρονικά. Μάθηµα 4ο.. Λιούπης

ΜΕΤΡΗΣΗ ΤΑΣΗΣ ΣΦΑΛΜΑΤΑ

Εφαρμογές Ψηφιακών Ηλεκτρονικών

ΗΜΥ203 Εργαστήριο Κυκλωµάτων και Μετρήσεων

ΗΜΥ203 Εργαστήριο Κυκλωµάτων και Μετρήσεων

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ

ΣΥΝΤΟΜΟ ΕΓΧΕΙΡΙ ΙΟ ΤΟΥ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΣΟΜΟΙΩΣΗΣ ΚΥΚΛΩΜΑΤΩΝ ΜULTISIM

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων.

Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Εργαστήριο Κυκλωµάτων και Μετρήσεων ΗΜΥ203

ΕΝΙΣΧΥΤΗΣ ΤΑΞΗΣ Α ME TO MULTISIM

ΗΛΕΚΤΡΙΚΑ ΚΥΚΛΩΜΑΤΑ ( Εργαστήριο ) Α εξαμήνου

Εργαστήριο Κυκλωµάτων και Μετρήσεων

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ

ΜΕΡΟΣ Α: Απαραίτητε γνώσει

Εργαστήριο Κυκλωμάτων και Μετρήσεων

Παράρτημα. Πραγματοποίηση μέτρησης τάσης, ρεύματος, ωμικής αντίστασης με χρήση του εργαστηριακού εξοπλισμού Άσκηση εξοικείωσης

Πανεπιστήµιο Κύπρου. Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών. ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

Καταχωρητές, Μετρητές και Ακολουθιακά Κυκλώματα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

Ψηφιακά Ηλεκτρονικά. Μάθηµα 3ο.. Λιούπης

Ψηφιακά Ηλεκτρονικά. Μάθηµα 1ο.. Λιούπης

ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ.

/AC. GM-166

ΑΣΚΗΣΗ 2 η : ΟΡΓΑΝΑ ΚΑΙ ΣΥΣΚΕΥΕΣ ΤΟΥ ΕΡΓΑΣΤΗΡΙΟΥ

VLSI Systems and Computer Architecture Lab. Εργαστήριο Υλικού & Αρχιτεκτονικής Υπολογιστών

ΑΣΚΗΣΗ 7 ΚΥΚΛΩΜΑ R-L-C: ΣΥΝΔΕΣΗ ΣΕ ΣΕΙΡΑ ΣΥΝΤΟΝΙΣΜΟΣ

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Παρουσιάσεις στο ΗΜΥ203, 2015

ΠΕΡΙΓΡΑΦΗ ΕΡΓΑΣΤΗΡΙΑΚΟΥ ΕΞΟΠΛΙΣΜΟΥ

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών)

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

Προειδοποίηση: Προειδοποιητικό σήμα κίνδυνος ηλεκτροπληξίας.

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΗΛΕΚΤΡΙΚΩΝ

Lab 2 Manual - Introduction to Xilinx

/AC. EM-610

VLSI Technology and Computer Architecture Lab. Εργαστήριο Υλικού & Αρχιτεκτονικής Υπολογιστών

Εισαγωγή στα κυκλώµατα CMOS 2

«Εργαστήριο σε Θέματα Ηλεκτρικών Μετρήσεων»

ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εργαστήριο Κυκλωµάτων και Μετρήσεων

Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εργαστήριο Κυκλωµάτων και Μετρήσεων

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus (a) με πύλες: and, or, xor και not (b) μόνο με πύλες nand2 και (c) με Vhdl (dataflow)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών)

ΗΜΥ203 Εργαστήριο Κυκλωμάτων και Μετρήσεων

Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153)

ΙΚΑΝΟΤΗΤΕΣ: 1. Αναγνωρίζει απλούς κωδικοποιητές - αποκωδικοποιητές.

Πανεπιστήµιο Κύπρου. Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών. Εισαγωγή στην Τεχνολογία

Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες

ΕΠΑΝΑΛΗΠΤΙΚΑ ΘΕΜΑΤΑ 2015 Β ΦΑΣΗ. Γ ΓΕΝΙΚΟΥ ΛΥΚΕΙΟΥ ΤΕΧΝΟΛΟΓΙΚΗ (1ος Κύκλος) ΗΛΕΚΤΡΟΛΟΓΙΑ Ηµεροµηνία: Κυριακή 19 Απριλίου 2015 ιάρκεια Εξέτασης: 3 ώρες

Αναλογικά & Ψηφιακά Κυκλώματα ιαφάνειες Μαθήματος ρ. Μηχ. Μαραβελάκης Εμ.

GM-392 & GM VDC 700 Vrms /AC.

Τα εργαστήρια Ηλεκτροτεχνίας, διεξάγονται παράλληλα µε το αντίστοιχο θεωρητικό µάθηµα στα Α, Β και Γ έτη σπουδών.

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

ΚΕΦΑΛΑΙΟ 5 ΠΡΟΣΟΜΟΙΩΤΗΣ PLC SIMATIC S7-300

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ

ΑΣΚΗΣΗ 7 ΚΥΚΛΩΜΑ R-L-C: ΣΥΝΔΕΣΗ ΣΕ ΣΕΙΡΑ ΣΥΝΤΟΝΙΣΜΟΣ

1η Εργαστηριακή Άσκηση: Απόκριση κυκλώµατος RC σε βηµατική και αρµονική διέγερση

ΜΑΡΑΣΛΕΙΟ Ι ΑΣΚΑΛΕΙΟ ΗΜΟΤΙΚΗΣ ΕΚΠΑΙ ΕΥΣΗΣ

Βασικές Έννοιες της Πληροφορικής

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες

2. ΛΟΓΙΚΕΣ ΠΥΛΕΣ. e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1

Educational Laboratory of Multi Instruments (ELMI) for LabVIEW TM and MultiSIM TM

Μόντεµ και τοπικό δίκτυο

ΗΜΥ 203 Εργαστήριο Κυκλωµάτων και Μετρήσεων

ΗΜΥ203 Εργαστήριο Κυκλωµάτων και Μετρήσεων

Περίθλαση λόγω κυμάτων επιφανειακής τάσης σε νερό. Εικόνα 1: Μονάδα ξύλινης πλατφόρμας [10] Χάρακας μέτρησης και συρόμενος δείκτης θέσης

Transcript:

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο εργαστήριο Υλικού Εβδοµάδα: 2 1 Στόχοι Εργαστηρίου Μετην ολοκλήρωση αυτού του εργαστηρίου, θα πρέπει να γνωρίζετε: 1. Τη διαδικασία που ακολουθείται για να «κατεβάζετε» ένα σχεδιασµό από τον υπολογιστή σεµια προγραµµατιζόµενη λογική διάταξη (FPGA) για σκοπούς ελέγχου και επαλήθευσης. 2. Τη διαδικασία υλοποίησης ενός κυκλώµατος µε διακριτά στοιχεία στην πλακέτα κατασκευής κυκλωµάτων καινα έχετε εξοικειωθεί µε τα διάφορα όργανα και άλλον εργαστηριακό εξοπλισµό που θα χρησιµοποιείτε στην κατασκευή και τον έλεγχοκυκλωµάτων. 3. Τις διαφορές µεταξύ των τεχνολογιών TTL και CMOS (όσο αφορά στις αντίστοιχες στάθµες τάσης για τις λογικές τιµές 0και 1στις δύο αυτές οικογένειες ολοκληρωµένων καιτα περιθώριαθορύβου. 2

Καθορισµός Pins Πριν από τον καθορισµό των pins θα πρέπει να βεβαιωθείτε ότι η συσκευή που έχετε καθορίσει στο πρότζεκτ σας είναι η Cyclone II, EP2C20F484C7. Ο έλεγχος µπορεί να γίνει επιλέγοντας Assignments/ Pin Planner στο Quartus και συµπληρώνοντας τη στήλη Location στο νέο παράθυρο που ανοίγει και στο οποίο θα πρέπει να φαίνονται όλα τα σήµατα εισόδου/εξόδου από τον σχεδιασµό Top-Level Entity. Προσοχή! Για να εισαχθούν τα pins στο σχεδιασµό, πρέπει να ξανακάνετε compilation. Τα ονόµατα των pins θα πρέπει να εµφανιστούν πάνω στο σχεδιασµό. (Βλέπε εικόνες στην επόµενη διαφάνεια.) 3 Καθορισµός Pins και Compilation 4

Αποθήκευση του Σχεδιασµού στο FPGA board 1. Από το Tools, επιλέξτε Programmer και στο νέο παράθυρο επιλέξτε Hardware Set-Up. 2. Προσθέστε το USB Blaster και στη συνέχεια αφού επιλέξετε το αρχείο.sof που αντιστοιχεί στο σχεδιασµό σας και πατήστε Start. Προσοχή! Ο διακόπτης RUN/PROG που βρίσκεται πάνω στην πλακέτα θα πρέπει να είναι πάντοτε στη θέση RUN. Ο έλεγχος λειτουργικότητας του σχεδιασµού σας πραγµατοποιείται µόνο µε τις δικές σας ενέργειες. Θέστε τους αντίστοιχους διακόπτες στην ανάλογη θέση για να φτιάξετε όλες τις γραµµές του πίνακα αληθείας παρατηρώντας την κατάσταση των LEDs της πλακέτας. 5 Εγκατάσταση USB Blaster και αρχείο.sof 6

Το FPGA Board 7 Εκπαιδευτ τική Πλακέτα 8

Τροφοδοτικό και Γεννήτρια Σηµάτων Τροφοδοτικό µε σταθερή τάση εξόδου: +5V, Ground, -5V Τροφοδοτικό µε δύο ρυθµιζόµενες εξόδους τάσης από 0 µέχρι +15Vκαι από 0 µέχρι -15V. Η κάθε έξοδος καθορίζεται από το αντίστοιχο ποτενσιόµετρο (+V και -V) Γεννήτρια Σηµάτων µε δυνατότητα δηµιουργίας τόσο ρυθµιζόµενης τάσης, όσο και τάσης σε TTL Mode. Ρυθµιστής Συχνότητας Έξοδοι Επιλογέας κλίµακας Συχνότητας Επιλογέας κυµατοµορφής ιακόπτες (0 / +5V) 9 Συνδέσεις για υλοποίηση της Χ(A,B,C,D) = A BC + D A B C D 10

Logic Probe (ανιχνευτής Lo / Ηi) Ο ανιχνευτής LP3500 τροφοδοτείται µε +5V (και Ground)και εντοπίζει σε ποια κατάσταση (Low or High)βρίσκεται το σηµείο του κυκλώµατος το οποίο αγγίζει η ακίδα του ανιχνευτή. 11 Πολύµετρο DC Volts + - Το πολύµετρο αποτελεί ένα συνδυασµό οργάνων κυρίως για µετρήσεις συνεχούς και εναλλασσόµενης (rms) τάσης, ρεύµατος και Ωµικής αντίστασης. 12

Έλεγχος Ολοκληρωµένων 1. Τοποθετείστε το ολοκληρωµένο στο κάτω µέρος της βάσης µε το κόψιµο στην πάνω πλευρά και ασφαλίστε το µετακινώντας τον µοχλό προς τα κάτω. µοχλός Pin 1 2. Πιέστε διαδοχικά το Mode/Clear για να επιλέξετε single test 3. Πληκτρολογήστε µόνο τους αριθµητικούς χαρακτήρες από τον κωδικό του ολοκληρωµένου και στη συνέχεια πιέστε το Test 4. Αποτέλεσµα Ελέγχου στην οθόνη: Fail: To ολοκληρωµένο σας είναι καµένο Pass: Chip type (το ολοκληρωµένο σας είναι ΟΚ!) 13 Περιθώρια Θορύβου για Ψηφιακή Λογική (Noise Margins) NM H = V OHmin V IHmin NM L = V ILmax V OLmax Output Input 14

15 Μαθησιακά Αποτελέσµατα Με την ολοκλήρωση αυτού του εργαστηρίου θα πρέπει να έχετε κατανοήσει τις διαδικασίες που ακολουθούνται για να: Σχεδιάσετε κάποιο κύκλωµα Προσοµοιώσετε τον σχεδιασµό σας και να τον ελέγξετε Φορτώσετε στην πλακέτα της Altera (FPGA board) κάποιο σχεδιασµό για να δείτε την πραγµατική συµπεριφορά του Υλοποιήσετε µε διακριτά εξαρτήµατα κάποιο κύκλωµα και να ελέγξετε την ορθή του λειτουργία 16