VHDL Εισαγωγικές έννοιες

Σχετικά έγγραφα
ΠΑΡΑΡΤΗΜΑ Β. Verification

Library, package και subprograms

Κυκλωμάτων» Χειμερινό εξάμηνο

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

Εισαγωγή στη Γλώσσα VHDL

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

Σχεδίαση Ψηφιακών Συστημάτων

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

Πανεπιστήµιο Θεσσαλίας

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

Structural VHDL. Structural VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2

Σχεδίαση Ψηφιακών Συστημάτων

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

H γλώσσα περιγραφής κυκλωµάτων VHDL

Σχεδίαση Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL)

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

VHDL Introduction. Subtitle

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Εργαστηριακές Ασκήσεις

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων

Introduction to IP Cores

Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ Εισαγωγή... 19

Τεύχος Εργαστηριακών Ασκήσεων Έκδοση 1η

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές


Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II


Περιγραφή Κυκλωμάτων με χρήση της VHDL. Οντότητες και συντρέχουσες δηλώσεις

Σχεδίαση Ψηφιακών Συστημάτων

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

ΗΥ-225. Verilog HDL. Τα βασικά...

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

(Peter Ashenden, The Students Guide to VHDL)

Σχεδίαση µε CAD tools

Εισαγωγή στη γλώσσα VHDL

Ενότητα 6 ΑΝΑΛΥΣΗ & ΣΥΝΘΕΣΗ ΣΥΝΔΥΑΣΤΙΚΗΣ ΛΟΓΙΚΗΣ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΟΛΛΩΝ ΕΠΙΠΕΔΩΝ

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL

inputs outputs Σχήμα 3.1: Σχηματική παράσταση της λειτουργίας του testbench

Κεφάλαιο 7 : Είδη, Τεχνικές, και Περιβάλλοντα Προγραµµατισµού

Σχεδίαση Υπολογιστικών

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

Οι Βιβλιοθήκες IEEE και παραδείγµατα functions

Σύνθετοι τύποι και λειτουργίες. (Peter Ashenden, The Students Guide to VHDL)

Προγραμματισμός και Χρήση Ηλεκτρονικών Υπολογιστών - Βασικά Εργαλεία Λογισμικού

Βασικές οµές Μοντελοποίησης. (Peter Ashenden, The Students Guide to VHDL)

ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ (Θεωπία) Θέμαηα Δξεηάζεων

Αλγοριθμική & Δομές Δεδομένων- Γλώσσα Προγραμματισμού Ι (PASCAL)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL)

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή

ΔΟΜΗΜΕΝΟΣ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ Κεφάλαιο 8 : H γλώσσα προγραµµατισµού Pascal

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ

Εισαγωγή στη σχεδιαστική ροή της Xilinx

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν.

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων

Εισαγωγή. Διαλέξεις στο μάθημα: Μεταφραστές Γιώργος Μανής

Σχολικό Βιβλίο - Κεφάλαιο 7 ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ ΜΕ PASCAL ΠΑΡΟΥΣΙΑΣΗ 13

Σχεδίαση κυκλωμάτων με VHDL: 1o μέρος

Γλώσσα Περιγραφής Υλικού VHDL Μέρος Α: Σωστή Σύνθεση

Οδηγίες εγκατάστασης και χρήσης του Quartus

4. Συντακτικό μιας γλώσσας είναι το σύνολο των κανόνων που ορίζει τις μορφές με τις οποίες μια λέξη είναι αποδεκτή.

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL

Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο VHDL (revisited)

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

Transcript:

VHDL Εισαγωγικές έννοιες ρ. Κ. Καραφασούλης ckaraf@aegean.gr Σχεδίαση Αρχικά ψηφιακά κυκλώµατα µπορούν να σχεδιασθούν µε το κατάλληλο λογισµικό. Μεγαλύτερα κυκλώµατα µπορούν να σχεδιασθούν ιεραρχικά από µικρότερα κυκλώµατα (δοµικές µονάδες). Λεπτοµέρειες της σχεδίασης µπορούν να συµπληρωθούν αργότερα χρησιµοποιώντας τη γλώσσα VHDL (text based) 1

Συγγραφή Στο επόµενο βήµα γράφεται ο κώδικας VHDL που περιγράφει επακριβώς τα δοµικά στοιχεία, τον τρόπο αλληλεπίδρασης µεταξύ τους και λεπτοµέρειες της εσωτερικής τους δοµής. Compilation Από τη στιγµή που έχει ολοκληρωθεί η συγγραφή του κώδικα, αυτός πρέπει να περάσει από τη φάση της συµβολοµετάφρασης (compilation). Ένας VHDL compiler αναλύει τον κώδικα για συντακτικά λάθη και ελέγχει τη συµβατότητα του µε τµήµατα του κώδικα από τον οποίο εξαρτάται. Επίσης, στη φάση αυτή δηµιουργούνται και οι πληροφορίες που είναι απαραίτητες για την φάση της προσοµοίωσης του κυκλώµατος. 2

Προσοµοίωση Στη φάση αυτή, µε τη βοήθεια της εφαρµογής VHDL Simulator, ορίζονται διάφορες τιµές για τις εισόδους του κυκλώµατος και προσδιορίζονται οι τιµές των εξόδων, χωρίς να κατασκευαστεί το φυσικό κύκλωµα. Για µικρά κυκλώµατα είναι αρκετό να δοθούν διάφορες τιµές εισόδου και να παρατηρηθεί η έξοδος χειροκίνητα. Σε µεγάλα όµως κυκλώµατα, η VHDL παρέχει τη δυνατότητα δηµιουργίας ενός test-bench, που θέτει αυτόµατα διάφορες τιµές στις εισόδους και τις συγκρίνει µε την αναµενόµενη τιµή εξόδου. Verification Η διαδικασία της προσοµοίωσης είναι µέρος µιας ευρύτερης διαδικασίας που λέγεται verification και έχει σαν σκοπό να ελέγξει διεξοδικά την ορθή λειτουργία του κυκλώµατος. Μεγάλο µέρος της προσπάθειας καταβάλλεται στο καθορισµό σεναρίων που ελέγχουν το κύκλωµα σε µεγάλο εύρος λογικών συνθηκών. 3

Functional Verification Η διαδικασία αυτή µπορεί να διακριθεί σε δύο µέρη. Την functional verification όπου η λειτουργία του κυκλώµατος ελέγχεται ανεξάρτητα από το χρόνο. Τόσο οι καθυστερήσεις στις πύλες όσο και όλες οι υπόλοιπες παράµετροι χρόνου θεωρούνται µηδέν. Timing Verification Στο δεύτερο µέρος, το timing verification, ελέγχεται η λειτουργία του κυκλώµατος λαµβάνοντας υπόψη την αναµενόµενη χρονική καθυστέρηση των πυλών καθώς και τς χρονικές απαιτήσεις σειριακών κυκλωµάτων όπως τα flip-flops. Είναι σύνηθες να ολοκληρώνεται η διαδικασία functional simulation, προτού αρχίσουν τα βήµατα που αναφέρονται σαν back-end. Όµως η ικανότητα να πραγµατοποιήσουµε µε ακρίβεια timing simulation στο σηµείο αυτό είναι περιορισµένη, µια και το αποτέλεσµα είναι ισχυρά εξαρτώµενο από τις επόµενες διαδικασίες της Σύνθεσης (synthesis) και της Προσαρµογής (Fitting). 4

Σύνθεση (Synthesis) Κατά τη διάρκεια της σύνθεσης η περιγραφή του κυκλώµατος στη VHDL µεταφράζεται δοµικών στοιχείων που µπορούν να συναρµολογηθούν στην τεχνολογία για την οποία προορίζεται το κύκλωµα. Για παράδειγµα, για ένα FPGA δηµιουργείτε ένα σύνολο πυλών και ένα σύνολο από συνδέσεις (netlist) που περιγράφει πως οι πύλες θα συνδεθούν µεταξύ τους. Προσαρµογή (Fitting, Place & Route) Στη διαδικασία αυτή, το κατάλληλο λογισµικό (fitter) προσαρµόζει τα δοµικά στοιχεία που δηµιουργήθηκαν στο προηγούµενο βήµα στους διαθέσιµους πόρους της συσκευής για την οποία προορίζεται το κύκλωµα. Ο σχεδιαστής στο βήµα αυτό µπορεί να εισάγει περιορισµούς τόσο για την τοποθέτηση των δοµικών στοιχείων στη συσκευή όσο και για την εκχώρηση των pins των εισόδων και εξόδων του κυκλώµατος. 5

οµή προγράµµατος VHDL H VHDL είναι µια γλώσσα που δηµιουργήθηκε έχοντας αρχές του δοµηµένου προγραµµατισµού. Η κύρια ιδέα είναι να ορίζει τις εισόδους και εξόδους ενός κυκλώµατος, κρύβοντας την εσωτερική του δοµή. Στη VHDL µε τη δήλωση entity ορίζονται οι είσοδοι και έξοδοι µιας αυτοτελούς µονάδας (module), ενώ µε τη δήλωση architecture περιγράφεται η εσωτερική δοµή και λειτουργία της αυτοτελούς µονάδας. οµή προγράµµατος VHDL 6

οµή προγράµµατος VHDL -- AND gate -------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -------------------------------------------------- entity AND_ent is port( x: in std_logic; y: in std_logic; F: out std_logic ); end AND_ent; -------------------------------------------------- architecture AND_arch of AND_ent is F <= '1' when X='1' and Y= 1' else '0'; end AND_arch; εσµευµένες λέξεις: entity, port, is, in, out, end, architecture, begin, when, else και not. OR gate -------------------------------------- -- OR gate (ESD book figure 2.3) -- -- two descriptions provided -------------------------------------- library ieee; use ieee.std_logic_1164.all; -------------------------------------- entity OR_ent is port( x: in std_logic; y: in std_logic; F: out std_logic ); end OR_ent; --------------------------------------- architecture OR_arch of OR_ent is process(x, y) -- compare to truth table if ((x='0') and (y='0')) then F <= '0'; else F <= '1'; end if; end process; end OR_arch; architecture OR_beh of OR_ent is F <= x or y; 7

entity entity ορίζεται το όνοµα της αυτοτελούς µονάδος καθώς και οι είσοδοι και έξοδοι της (Με τη δεσµευµένη λέξη port). Τα X, Y, Z αποτελούν τα σήµατα εισόδου / εξόδου στη µονάδα. Η κατεύθυνση τους προσδιορίζεται µε τη χρήση των λεκτικών in, out και inout. Ορίζεται επίσης και το είδος του σήµατος π.χ. bit, real, integer, boolen κτλ. architecture Με τη δήλωση architecture ορίζεται η εσωτερική δοµή της µονάδας. Τα σήµατα (εισόδου & εξόδου) πηγάζουν από τη δήλωση entity που έχει προηγηθεί. Η architecture µπορεί να περιλαµβάνει, επίσης, σήµατα και δηλώσεις που είναι τοπικά (local) κατ αναλογία µε τις γνωστές γλώσσες υψηλού επιπέδου. 8

Libraries and Packages Μια βιβλιοθήκη (library) VHDL αποτελεί το χώρο όπου ο VHDL compiler αποθηκεύει πληροφορίες για µια σχεδίαση ενός project, συµπεριλαµβάνοντας και ενδιάµεσα αρχεία που χρησιµοποιούνται κατά την ανάλυση, προσοµοίωση και σύνθεση του κυκλώµατος. Για την τρέχουσα σχεδίαση σε VHDL ο compiler δηµιουργεί αυτόµατα και χρησιµοποιεί στη συνέχεια τη βιβλιοθήκη που ονοµάζεται work. Η βιβλιοθήκη work (συνήθως ένας υποκατάλογος στο κατάλογο του project) δεν περιλαµβάνει όλες τις πληροφορίες που είναι απαραίτητες για ένα συγκεκριµένο project. Ακόµη και πολύ µικρά σχέδια κυκλωµάτων είναι δυνατό να χρησιµοποιούν ορισµούς από τη βιβλιοθήκη της IEEE. Για να συµπεριληφθεί η βιβλιοθήκη αυτή στον κώδικα VHDL απαιτείτε η δήλωση: library ieee; H δήλωση library work; υπονοείτε ότι περιλαµβάνεται στην αρχή κάθε αρχείου VHDL. Σε µια βιβλιοθήκη περιλαµβάνονται αυτοτελείς µονάδες (entities & architectures), όχι όµως και ορισµοί µεταβλητών, τύπων σηµάτων κτλ. Τέτοιες πληροφορίες αποθηκεύονται σε VHDL packages (πακέτα). Πρόσβαση στους ορισµούς ενός package γίνεται µε τη χρήση του λεκτικού use. Για παράδειγµα, για να χρησιµοποιηθούν όλοι οι ορισµοί του πακέτου IEEE standard 1164 απαιτείτε η δήλωση: use ieee.std_logic_1164.all; Σήµατα και Μεταβλητές ύο είναι οι κύριοι τύποι των αντικειµένων που συναντάµε στην VHDL για τη µεταφορά δεδοµένων: σήµατα και µεταβλητές. Σε γενικές γραµµές οι µεταβλητές χρησιµοποιούνται για τη µεταφορά δεδοµένων µεταξύ σειριακών διεργασιών (processes, procedures και functions), ενώ τα σήµατα χρησιµοποιούνται για τη µεταφορά δεδοµένων µεταξύ των παράλληλων στοιχείων του σχεδίου του κυκλώµατος (π.χ. δύο processes) Είναι χρήσιµο να σκεφτόµαστε τα σήµατα σαν καλώδια (όπως στο σχέδιο του κυκλώµατος) και τις µεταβλητές σαν προσωρινές θέσεις µνήµης. 9

Example: AND-OR-INVERT gate --VHDL code for AND-OR-INVERT gate library IEEE;use IEEE.STD_LOGIC_1164.all; entity AOI is port ( A, B, C, D: in STD_LOGIC; F : out STD_LOGIC); end AOI; architecture V1 of AOI is begin F <= not ((A and B) or (C and D)); end V1; -- end of VHDL code Example: AND-OR-INVERT gate (Internal signal & delays) library IEEE; Use IEEE.STD_LOGIC_1164.all; entity AOI is port ( A, B, C, D: in STD_LOGIC; F : out STD_LOGIC); end AOI; architecture V2 of AOI is signal AB, CD, O: STD_LOGIC; begin AB <= A and B after 2 NS; CD <= C and D after 2 NS; O <= AB or CD after 2 NS; F <= not O after 1 NS; end V2; 10

Processes H δήλωση process στη VHDL είναι ο κυριότερος τρόπος περιγραφής σειριακών λειτουργιών (π.χ. µνήµης, registers circuits). Σε τέτοιες περιπτώσεις η κυριότερη δοµή τηςprocess είναι: architecture arch_name of end_name is process_name: process(sensitivity_list) local declaration; local declaration;. sequential statement; sequential statement; end process; end arch_name; H sensitivity_list είναι προαιρετική και δηλώνει τα σήµατα στα οποία όταν ανιχνευθεί µια αλλαγή θα εκτελεσθεί η process. Καθίσταται απαραίτητη στις περιπτώσεις όπου δεν υπάρχουν εντολές wait στην process για να αναστείλουν την εκτέλεση της σε ορισµένα σηµεία. OR Gate (Process) -------------------------------------- -- OR gate -- -- two descriptions provided -------------------------------------- library ieee; use ieee.std_logic_1164.all; -------------------------------------- entity OR_ent is port( x: in std_logic; y: in std_logic; F: out std_logic ); end OR_ent; --------------------------------------- architecture OR_arch of OR_ent is begin process(x, y) begin -- compare to truth table if ((x='0') and (y='0')) then F <= '0'; else F <= '1'; end if; end process; end OR_arch; --------------------------------------- 11