Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL)

Σχετικά έγγραφα
Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Σύνθετοι τύποι και λειτουργίες. (Peter Ashenden, The Students Guide to VHDL)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Library, package και subprograms


Κυκλωμάτων» Χειμερινό εξάμηνο

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Οντότητες και συντρέχουσες δηλώσεις

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

Σχεδίαση Ψηφιακών Συστημάτων

(Peter Ashenden, The Students Guide to VHDL)

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

Οι Βιβλιοθήκες IEEE και παραδείγµατα functions

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

Σχεδίαση Ψηφιακών Συστημάτων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

VHDL Εισαγωγικές έννοιες

Σχεδίαση Ψηφιακών Συστημάτων

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

constant number_of_bytes : integer := 4; constant number_of_bits : integer := 8 * number_of_bytes;

ΠΑΡΑΡΤΗΜΑ Β. Verification

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

Structural VHDL. Structural VHDL

Εισαγωγή στη Γλώσσα VHDL

Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ Εισαγωγή... 19

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση. Ενότητα 13: Εισαγωγή στην VHDL

VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων

Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο VHDL (revisited)

inputs outputs Σχήμα 3.1: Σχηματική παράσταση της λειτουργίας του testbench

ΛΥΣΕΙΣ 3 ης ΓΡΑΠΤΗΣ ΕΡΓΑΣΙΑΣ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL)

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2

Ενσωματωμένα Συστήματα

VHDL Introduction. Subtitle

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Αλγοριθμική & Δομές Δεδομένων- Γλώσσα Προγραμματισμού Ι (PASCAL) (PASCAL ) Εντολές Ελέγχου & Επανάληψης

Σχεδίαση Ψηφιακών Συστημάτων

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής

Ενσωματωμένα Συστήματα

Πανεπιστήµιο Θεσσαλίας

Βασικές οµές Μοντελοποίησης. (Peter Ashenden, The Students Guide to VHDL)

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων

Ενότητα 6 ΑΝΑΛΥΣΗ & ΣΥΝΘΕΣΗ ΣΥΝΔΥΑΣΤΙΚΗΣ ΛΟΓΙΚΗΣ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΟΛΛΩΝ ΕΠΙΠΕΔΩΝ

ΨΗΦΙΑΚΗ ΣΧΔΓΙΑΣΗ (Θεωπία) Θέμαηα Δξεηάζεων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Εργαστήριο Ανάπτυξης Εφαρμογών Βάσεων Δεδομένων. Εξάμηνο 7 ο

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

H γλώσσα περιγραφής κυκλωµάτων VHDL

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.


Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Τεχνητή Νοημοσύνη. Ενότητα 2: Αναζήτηση (Search)

Overview. Transition Semantics. Configurations and the transition relation. Executions and computation

Τέτοιες λειτουργίες γίνονται διαμέσου του

- Αναπαράσταση ακέραιας τιµής : - Εύρος ακεραίων : - Ακέραιοι τύποι: - Πράξεις µε ακεραίους (DIV - MOD)

Κεφάλαιο 4 ο Σχεδίαση Κυκλωμάτων με χρήση της γλώσσας VHDL

ΗΥ-225. Verilog HDL. Τα βασικά...

Αποτελέσματα προόδου

Καράγιωργας Νικόλαος Α.Μ. 90 Τριανταφυλλόπουλος Σταύρος Α.Μ. 92 Μεταπτυχιακό Πρόγραµµα ΟΣΥΛ. Η δηµοφιλέστερη γλώσσα σχεδιασµού Hardware!

Προπτυχιακός φοιτητής Σχολής Θετικών Επιστημών τμήματος Φυσικής ΑΠΘ

ΟΜΟΣΠΟΝ ΙΑ ΕΚΠΑΙ ΕΥΤΙΚΩΝ ΦΡΟΝΤΙΣΤΩΝ ΕΛΛΑ ΟΣ (Ο.Ε.Φ.Ε.) ΕΠΑΝΑΛΗΠΤΙΚΑ ΘΕΜΑΤΑ ΕΠΑΝΑΛΗΠΤΙΚΑ ΘΕΜΑΤΑ 2013

Εισαγωγή στη γλώσσα VHDL

UNIVERSITY OF CALIFORNIA. EECS 150 Fall ) You are implementing an 4:1 Multiplexer that has the following specifications:

Μεταγλωττιστές Βελτιστοποίηση

Μεταγλωττιστές. Γιώργος Δημητρίου. Μάθημα 8 ο. Πανεπιστήμιο Θεσσαλίας - Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

Κεφάλαιο 7: Υποπρογράμματα. Αρχές Γλωσσών Προγραμματισμού και Μεταφραστών

Αποθηκευμένες Διαδικασίες Stored Routines (Procedures & Functions)

Σχεδίαση και υλοποίηση σε FPGA παράλληλης επεξεργασίας μετασχηματισμού Fourier με τεχνική in-place

Κεφάλαιο 5 ο Προγραμματισμός Ολοκληρωμένων Κυκλωμάτων

Dynamic types, Lambda calculus machines Section and Practice Problems Apr 21 22, 2016

Κεφάλαιο 7: Υπορουτίνες

VERILOG. Γενικά περί γλώσσας


ΣΧΕΔΙΑΣΜΟΣ ΚΥΚΛΩΜΑΤΩΝ ΠΑΡΑΜΕΤΡΙΚΗΣ ΜΕΤΑΒΟΛΗΣ ΣΥΧΝΟΤΗΤΑΣ ΚΑΙ DUTY CYCLE ΠΑΛΜΟΥ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

Transcript:

Μοντελοποίηση Επιπέδου Πύλης (Peter Ashenden, The Students Guide to VHDL)

Πολλαπλά Επίπεδα Τιµών Η κατάσταση µίας γραµµής δεν είναι πάντα 0 ή 1. ιαµάχες οδηγούν σε απροσδιοριστία. Χρήση πολλαπλών επιπέδων τιµών Ζ Χ Υψηλή εµπέδηση για διαµοίραση διαύλων 0 1 Άγνωστο αποτέλεσµα διαµάχης Η δύναµη των οδηγών σηµάτων δεν είναι γνωστή. Πολλαπλά Επίπεδα Τιµών 2

Πολλαπλά Επίπεδα Τιµών Σε πολλές τεχνολογίες η σύγκρουση οδηγών δίνει γνωστό αποτέλεσµα Σύνδεση διάυλου 5v 0v 100 Ω 1000 Ω 4.54v Ισχυρό 1, Αδύνατο 0 Ισοδυναµεί µε 1 Ανάγκη µοντελοποίησης ισχύος σήµατος Πολλαπλά Επίπεδα Τιµών 3

Πολλαπλά Επίπεδα Τιµών type BIT_7 is ( 'x', -- strong X (strong unknown) '0', -- strong low '1', -- strong high 'Z', -- high impedance 7 επίπεδα τιµών 'W', -- weak unkonwn 'L', -- weak low 'H'); -- weak high Η διαφοροποίηση τους θα διαφανεί στην επίλυση Πολλαπλά Επίπεδα Τιµών 4

7 Επίπεδα Τιµών X 0 1 Z W L H X X X X X X X X X 0 X 0 0 0 0 0 X X 1 1 1 1 1 1 X 0 1 Z W L H Z X 0 1 W W W W W X 0 1 L W L W L X 0 1 H W W H H Πολλαπλά Επίπεδα Τιµών 5

Std_Ulogic type std_ulogic is ( 'U', -- uninitialized 'x', -- strong X (strong unknown) '0', -- strong low '1', -- strong high 9 επίπεδα τιµών 'Z', -- high impedance 'W', -- weak unkonwn 'L', -- weak low 'H', -- weak high '-'); -- don't care Πολλαπλά Επίπεδα Τιµών 6

9 Επίπεδα Τιµών U X 0 1 Z W L H - U U U U U U U U U U U X X X X X X X X X U X 0 X 0 0 0 0 X 0 U X X 1 1 1 1 1 X 1 U X 0 1 Z W L H X Z U X 0 1 W W W W X W U X 0 1 L W L W X L U X 0 1 H W W H X H U X X X X X X X X - Πολλαπλά Επίπεδα Τιµών 7

Μοντέλο ασύµµετρου χρονισµού Σύµφωνα µε τα εγχειρίδια κατασκευαστών µία τυπική πύλη έχει δύο µορφές καθυστέρησης TPLHio: ο χρόνος που απαιτείται ώστε η έξοδος να αλλάξει από 0 σε 1 (ή από L σε Η) ) όταν αλλάζει µία είσοδος. TPΗLio: ο χρόνος που απαιτείται ώστε η έξοδος να αλλάξει από 1 σε 0 (ή από Η σε L) όταν αλλάζει µία είσοδος. Πολλαπλά Επίπεδα Τιµών 8

Μοντέλο ασύµµετρου χρονισµού package timing is type delay_parameters is (TPLHio, TPHLio, delta); constant t_selection : delay_parameters := delta; function t_choise (t_selection: delay_parameters) return time; end timing; package body timing is function t_choise (t_selection: ti delay_parameters) return time is variable T_TPLHio, T_TPHLio: time; begin case t_selection is when TPLHio => return 3ns; when TPHLio => return 5ns; end case; end t_choise end timing; Πολλαπλά Επίπεδα Τιµών 9

Μοντέλο ασύµµετρου χρονισµού use work.timing.all; entity and2_gate is port (I1, I2: in bit; O: out bit); end and2_gate; architecture behave of and2_gate is p0: process (I1, I2) variable new_and_value, old_and_value:bit; begin new_and_value:= I1 and I2; if new_and_value='1' and old_and_value='0' then O<=new_and_value after t_choise(tplhio); elsif new_and_value='0' and old_and_value='1' then O<=new_and_value after t_choise(tphlio); end if; old_and_value:=new_and_value; end process; end behave; Πολλαπλά Επίπεδα Τιµών 10

Μοντέλο λογικής πύλης ΚΑΙ package logic_gates is type delay_parameters is (TPLHio, TPHLio, delta); constant n: integer:=4; constant t_selection : delay_parameters := delta; variable t1, t2, t3: time; type BIT_7 is ('X', '0', '1', 'Z', 'W', 'L', 'H'); type BIT_7_vector is array (natural range <>) of BIT_7; type BIT_7_table is array (BIT_7, BIT_7) of BIT_7; type BIT_7_t is array (BIT_7) of BIT_7; function t_choise (t_selection: delay_parameters) return time; function and_op (inputs: BIT_7_vector) return BIT_7; end logic_gates; Πολλαπλά Επίπεδα Τιµών 11

Μοντέλο λογικής πύλης ΚΑΙ package body logic_gates is function t_choise (t_selection: delay_parameters) return time is variable T_TPLHio, T_TPHLio: time; begin case t_selection is when TPLHio => return t1; when TPHLio => return t2; when delta => return t3; end case; end t_choise; function and _ op p( (inputs: BIT 7 vector) return BIT _ 7 is variable result: BIT_7:='1'; constant and_table : BIT_7_table := (('X', '0', 'X', 'X', 'X', '0', 'X'), begin ( '0', '0', '0', '0', '0', '0', '0'), for i in inputs'range loop ('X', '0', '1', 'X', 'X', '0', '1'), result:=and_table (result, inputs(i)); ('X', '0', 'X', 'X', 'X', '0', 'X'), exit when result='0'; ('X', '0', 'X', 'X', 'X', '0', 'X'), end loop; ('0', '0', '0', '0', '0', '0', '0'), return result; ('X', '0', '1', 'X', 'X', '0', '1')); end and_op; end logic_gates; Πολλαπλά Επίπεδα Τιµών 12

use work.logic_gates.all; Μοντέλο λογικής πύλης ΚΑΙ entity and_gate is port (Input: in BIT_7_vector (n-1 downto 0); O: out BIT_7); end and_gate; architecture behave of and_gate is begin assert n>=2 report "At least 2 inputs are required" severity failure; new_value:=and_op(input); _ p if (new_value='1' and old_value='0') or (new_value='h' and old_value='l') then O<=new_value after t_choise(tplhio); elsif (new_value='0' and old_value='1') or (new_value='l' and old_value='h') then O<=new_value after t_choise(tphlio); else O<=new_value after t_choise(delta); end if; old_value:=new_value; end behave; Πολλαπλά Επίπεδα Τιµών 13