Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Σχετικά έγγραφα

Library, package και subprograms

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές

Σύνθετοι τύποι και λειτουργίες. (Peter Ashenden, The Students Guide to VHDL)

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL)

Κυκλωμάτων» Χειμερινό εξάμηνο

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

Εισαγωγή στη Γλώσσα VHDL

Σχεδίαση Ψηφιακών Συστημάτων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Οι Βιβλιοθήκες IEEE και παραδείγµατα functions

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Οντότητες και συντρέχουσες δηλώσεις

Structural VHDL. Structural VHDL

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

(Peter Ashenden, The Students Guide to VHDL)

ΓΡΑΜΜΙΚΟΣ & ΔΙΚΤΥΑΚΟΣ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο VHDL (revisited)

VHDL Introduction. Subtitle

ΛΥΣΕΙΣ 3 ης ΓΡΑΠΤΗΣ ΕΡΓΑΣΙΑΣ

VHDL Εισαγωγικές έννοιες

VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων

inputs outputs Σχήμα 3.1: Σχηματική παράσταση της λειτουργίας του testbench

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

ΠΑΡΑΡΤΗΜΑ Β. Verification

Β. Εισαγωγή στον Προγραμματισμό Η/Υ με την JavaScript

Διάλεξη 3: Προγραμματισμός σε JAVA I. Διδάσκων: Παναγιώτης Ανδρέου

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL)

- Αναπαράσταση ακέραιας τιµής : - Εύρος ακεραίων : - Ακέραιοι τύποι: - Πράξεις µε ακεραίους (DIV - MOD)

A ΜΕΡΟΣ. 1 program Puppy_Dog; 2 3 begin 4 end. 5 6 { Result of execution 7 8 (There is no output from this program ) 9 10 }

Προγραμματισμός PASCAL

Ενσωματωμένα Συστήματα

Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ Εισαγωγή... 19

constant number_of_bytes : integer := 4; constant number_of_bits : integer := 8 * number_of_bytes;

Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4

Κεφάλαιο 3. Αριθμητική Υπολογιστών Review. Hardware implementation of simple ALU Multiply/Divide Real Numbers

Προπτυχιακός φοιτητής Σχολής Θετικών Επιστημών τμήματος Φυσικής ΑΠΘ

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

Ενσωματωμένα Συστήματα

Σχεδίαση Ψηφιακών Συστημάτων

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση. Ενότητα 13: Εισαγωγή στην VHDL

Ένα απλό πρόγραμμα C

Αρχιτεκτονική Υπολογιστών

Βασικές οµές Μοντελοποίησης. (Peter Ashenden, The Students Guide to VHDL)

Εισαγωγή στη γλώσσα VHDL


Chapter 2. Εντολές : Η γλώσσα του υπολογιστή. Τρίτη (3 η ) δίωρη διάλεξη. Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L.

Εισαγωγή στα Λειτουργικά Συστήματα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Εργαστήριο 4_1 Λεκτική Ανάλυση - Flex Διδάσκοντες: Δρ. Γεώργιος Δημητρίου Δρ. Άχμεντ Μάχντι

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Βασικά Στοιχεία της Java

ΕΠΛ 012. JavaScripts

Γλώσσα Περιγραφής Υλικού VHDL Μέρος Α: Σωστή Σύνθεση

Κεφάλαιο 4 ο Σχεδίαση Κυκλωμάτων με χρήση της γλώσσας VHDL

Δομημένος Προγραμματισμός (ΤΛ1006)

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Φροντιστήριο: MIPS assembly

Chapter 2. Εντολές : Η γλώσσα του υπολογιστή. (συνέχεια) Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L.

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

ΕΠΛ 342 Βάσεις εδοµένων

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Προγραμματισμός Η/Υ

Παράλληλη Επεξεργασία Κεφάλαιο 8 ο Προγράμματα Περάσματος Μηνυμάτων

Σχεδίαση και υλοποίηση σε FPGA παράλληλης επεξεργασίας μετασχηματισμού Fourier με τεχνική in-place

1: INTRODUCTION: ANALOG VS. DIGITAL... 1

Σχεδίαση κυκλωμάτων με VHDL: 1o μέρος

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Παράλληλη Επεξεργασία Κεφάλαιο 9 ο Επιµερισµός εδοµένων

Βασικά Στοιχεία της Java

Ordinal Arithmetic: Addition, Multiplication, Exponentiation and Limit

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΚΥΠΡΙΑΚΗ ΕΤΑΙΡΕΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ CYPRUS COMPUTER SOCIETY ΠΑΓΚΥΠΡΙΟΣ ΜΑΘΗΤΙΚΟΣ ΔΙΑΓΩΝΙΣΜΟΣ ΠΛΗΡΟΦΟΡΙΚΗΣ 6/5/2006

4. Εισαγωγή στη Java

Ει αγωγή η Fortran.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

Transcript:

Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr

Σχόλια: - - This is a single line comment - - There is no alternative way to write multi-line comments Αναγνωριστικά: Τα αναγνωριστικά στη VHDL μπορούν να περιέχουν γράμματα, αριθμούς και κάτω πάυλες. Ένα αναγνωριστικό πρέπει πάντοτε να ξεκινάει με κάποιο γράμμα. Ένα αναγνωριστικό δεν μπορεί να περιέχει δύο συνεχόμενες κάτω παύλες. Η VHDL είναι case insensitive. A4, next_state, NextState, dff_enable sig#5, _a, 7seg, my var

Δεσμευμένες Λέξεις: abs configuration impure null rem type access in of report unaffected after disconnect if inertial return units alias downto inout open rol all else is or ror use and label others select variable end library on severity wait array entity linkage package signal assert exit literal port shared while attribute loop postponed sla out with begin for procedure sll xnor block function mod sra xor body generate nand pure srl generic new range subtype bus group next then case guarded nor register to when not reject constant transport architecture until elsif file map process component buffer record

Αριθμοί: integers: 0, 1234, 98E7,... real: 0.0, 1.23456 or 9.87E6 base 2: 2#101101# Χαρακτήρες: 'A',...'Z', '0', '1',... Strings: "0011", "some_string",...

operator description operand 1 operand 2 result a ** b exponentiation integer integer integer abs a absolute value integer integer not a negation boolean / bit / bit_vector boolean / bit / bit_vector a * b multiplication integer integer integer a / b division integer integer integer a mod b modulo integer integer integer a rem b remainder integer integer integer + a identity integer integer - a negation integer integer

operator description operand 1 operand 2 result a + b addition integer integer integer a - b subtraction integer integer integer a & b concatenation 1D array 1D array 1D array a sll b shift left logical bit_vector integer bit_vector a srl b shift right logical bit_vector integer bit_vector a sla b shift left arithmetic bit_vector integer bit_vector a sra b shift right arithmetic bit_vector integer bit_vector a rol b rotate left bit_vector integer bit_vector a ror b rotate right bit_vector integer bit_vector

operator description operand 1 operand 2 result a = b equal to any any boolean a /= b not equal to any any boolean a < b less than any any boolean a <= b less than or equal to any any boolean a > b greater than any any boolean a >= b greater than or equal to any any boolean a and b and boolean / bit / bit_vector boolean / bit / bit_vector boolean / bit / bit_vector a or b or boolean / bit / bit_vector boolean / bit / bit_vector boolean / bit / bit_vector a xor b xor boolean / bit / bit_vector boolean / bit / bit_vector boolean / bit / bit_vector a nand b nand boolean / bit / bit_vector boolean / bit / bit_vector boolean / bit / bit_vector a nor b nor boolean / bit / bit_vector boolean / bit / bit_vector boolean / bit / bit_vector a xnor b xnor boolean / bit / bit_vector boolean / bit / bit_vector boolean / bit / bit_vector

overloaded operators description operand 1 operand 2 result abs a absolute signed signed a + b arithmetic ops... signed signed signed a - b arithmetic ops... signed signed signed a * b arithmetic ops... unsigned unsigned unsigned a / b arithmetic ops... unsigned unsigned unsigned a mod b arithmetic ops... unsigned unsigned unsigned a rem b arithmetic ops... signed signed / integer signed - a negation signed signed

function description operand 1 operand 2 result shift_left(a, b) shift left unsigned / signed natural type of 1st operand shift_right(a, b) shift right unsigned / signed natural type of 1st operand rotate_left(a, b) rotate left unsigned / signed natural type of 1st operand rotate_right(a, b) rotate right unsigned / signed natural type of 1st operand resize(a, b) resize array unsigned / signed natural type of 1st operand std_match(a, b) compare unsgned / signed / std_logic / std_logic_vector type of 1st operand boolean to_integer(a) convert unsigned / signed integer to_unsigned(a, b) convert natural natural unsigned to_signed(a, b) convert integer natural signed

1. Για ποιό λόγο χρησιμοποιούμε packages κατά την συγγραφή κώδικα VHDL; 2. Τί περιλαμβάνει το πακέτο std_logic_1164 που χρησιμοποιείται στα παραπάνω παραδείγματα; 3. Ποιά είναι η βασική διαφορά μεταξύ του τύπου std_logic και του τύπου bit; 4. Εξηγείστε την λειτουργία των τελεστών sll, srl, sla, sra, ror, rol. Δώστε ένα παράδειγμα για κάθε έναν από τους παραπάνω τελεστές. 5. Ποιοί από τους τύπους δεδομένων του πακέτου std_logic_1164 δεν θέλουμε να εμφανιστούν κατά την διαδικασία της προσομοίωσης και γιατί;