Παρουσίαση Διπλωματικής

Σχετικά έγγραφα
ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Κυκλωμάτων» Χειμερινό εξάμηνο

Διαφορές single-processor αρχιτεκτονικών και SoCs

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

ΡΟΜΠΟΤΙΚΗ. ΕΡΓΑΣΙΑ ΠΑΝΩ ΣΤΗΝ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΝΧΤ ΚΑΙ ΤΑ ΠΡΩΤΟΚΟΛΛΑ ΕΠΙΚΟΙΝΩΝΙΑΣ BLUETOOTH, I2C και serial communication

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή

Μνήμη και Προγραμματίσιμη Λογική

Συστοιχία Επιτόπια Προγραμματιζόμενων Πυλών Field Programmable Gate Arrays (FPGAs)

Οργάνωση της φυσικής δομής του ολοκληρωμένου κυκλώματος

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

Βασικές Έννοιες της Πληροφορικής

Τμήμα Επιστήμης Υπολογιστών ΗΥ-474. Ψηφιακή Εικόνα. Χωρική ανάλυση Αρχεία εικόνων

Κεφάλαιο 14 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Σχεδιαστικές Μεθοδολογίες 2

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής

Εργαστήριο Ψηφιακών Κυκλωμάτων

Φόρμα Σχεδιασμού Διάλεξης (ημ/α:15/10/07, έκδοση:0.1 ) 1. Κωδικός Μαθήματος : 2. Α/Α Διάλεξης : 1 1. Τίτλος : 1. Εισαγωγή στην Αρχιτεκτονική Η/Υ

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

WDT και Power Up timer

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019

Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων. Διδάσκοντες

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

Σχεδιασμός Ψηφιακών Συστημάτων

Σύστημα διασύνδεσης και. διαδικασία εισόδου-εξόδου

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Αρχιτεκτονική υπολογιστών

Ηλεκτρονικός Υπολογιστής

Συστήματα VLSI. Εισαγωγή. Γιώργος Δημητρακόπουλος. Δημοκρίτειο Πανεπιστήμιο Θράκης. Άνοιξη 2014

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Α.Τ.Ε.Ι. Ηρακλείου Ψηφιακή Επεξεργασία Εικόνας ιδάσκων: Βασίλειος Γαργανουράκης. Ανθρώπινη Όραση - Χρωµατικά Μοντέλα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΑΠΑΝΤΗΣΕΙΣ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ

Αυτοματισμοί και Συστήματα Αυτομάτου Ελέγχου. Ενότητα 2

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ

Εισαγωγή στη σχεδιαστική ροή της Xilinx

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

Ενσωματωμένα Συστήματα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1

Σχεδιασμός και κατασκευή εφαρμογής ταξινόμησης αντικειμένων σε γραμμή μεταφοράς προϊόντων με χρήση όρασης μηχανής

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Σχεδιασμός Ψηφιακών Συστημάτων

Κεφάλαιο 1 Ε Π Α Ν Α Λ Η Ψ Η

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Αρχιτεκτονική υπολογιστών

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Φουκαράκη Χρυσούλα - ΓΕΛ Γαζίου

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

ΡΟΜΠΟΤΙΚΗ ΟΡΑΣΗ. Όταν ένα ρομπότ κινείται σε άγνωστο χώρο ή σε χώρο που μπορεί να αλλάξει η διάταξή του τότε εμφανίζεται η ανάγκη της όρασης μηχανής.

Κεφάλαιο Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων.

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Τμήμα Λογιστικής. Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. Μάθημα 8. 1 Στέργιος Παλαμάς

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

Τεχνικές σχεδιασμού μονοπατιών ολίσθησης

Υλοποίηση μικροεπεξεργαστή σε περιβάλλον FPGA

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out

Πανεπιστήμιο Θεσσαλίας- Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ430- Εργαστήριο Ψηφιακών Κυκλωμάτων

ΕΦΑΡΜΟΓΕΣ ΠΛΗΡΟΦΟΡΙΚΗΣ. Α Γενικού Λυκείου (Μάθημα Επιλογής)

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»

Αρχιτεκτονική Υπολογιστών

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

1. Εισαγωγή. 2. Προσοχή! Αντενδείξεις: 1) Παρακαλούμε, μην επιχειρήσετε να ανοίξετε την κάμερα

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια

Ι ΑΣΚΩΝ ΚΑΘΗΓΗΤΗΣ: ΚΑΘΗΓΗΤΗΣ ΕΦΑΡΜΟΓΩΝ. ΤΕΙ ΥΤΙΚΗΣ ΜΑΚΕ ΟΝΙΑΣ

ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ

Transcript:

Παρουσίαση Διπλωματικής Σχεδίαση και υλοποίηση του υλικού της διεπαφής ενός οπτικού αισθητήρα σε System On Chip για την επεξεργασία εικόνας και τη συμπίεση video Γεώργιος Καρακωνσταντής Επιβλέπων: Γεώργιος Σταμούλης Αναπληρωτής Καθηγητής

Εισαγωγή Μιαεικόνααξίζειόσοχίλιεςλέξεις Αύξησητωναπαιτήσεωνγιαεφαρμογέςπολυμέσων Μείωση του μεγέθους των συσκευών, βελτίωση της ποιότητας της εικόνας, μείωση του κόστους παραγωγής και της κατανάλωσης ισχύος Συνεχής ανάπτυξη και βελτίωση στον ψηφιακό οπτικό κόσμο Τα μέσα καταγραφής μιας εικόνας άλλαξαν και βελτιώνονται συνεχώς Η εικόνα που παράγεται από έναν αισθητήρα απαιτείται να βελτιωθεί Εφαρμογή αλγορίθμων προ επεξεργασίας εικόνας Κρίσιμα προβλήματα σχεδίασης Αντικείμενο Καρακωνσταντής Γεώργιος 2

Οργάνωση Καρακωνσταντής Γεώργιος 3

Οπτικοί αισθητήρες Χρησιμοποιούνται σε ένα ευρύ φάσμα εφαρμογών Δύο τεχνολογίες κατασκευής CCD (Charge Coupled Device) CMOS (Complementary Metal Oxide Semiconductor) Παράγοντες ανάπτυξης CMOS Λειτουργικοί περιορισμοί CCD Απαίτηση για μικρό μέγεθος και χαμηλή κατανάλωση Τρόπος λειτουργίας (i(n1,n2) = ia(n1t1,n2t2)) Φωτοηλεκτρικό φαινόμενο Διαφορές Κατασκευαστικές CMOS πιο ευέλικτη, κάθε pixel αυτόνομο CCD: υψηλής ποιότητας και χαμηλού θορύβου εικόνες 100 φορές περισσότερη κατανάλωση ενέργειας CMOS:λιγότερη κατανάλωση ενέργειας, ευκολία κατασκευής, μικρότερο κόστος, μικρότερη ανάλυση Καρακωνσταντής Γεώργιος 4

CMOS αισθητήρες Κάθε pixel διευθυνσιοδοτείται ανεξάρτητα και περιέχει μια φωτοδίοδο και τρία transistors Μεγαλύτερα επίπεδα θορύβου Εφαρμογές που απαιτούν χαμηλή κατανάλωση ενέργειας, μικρό μέγεθος και μέση ανάλυση εικόνας Ενσωματώνουν τα αναλογικά και ψηφιακά μέρη σε ένα chip Επιπρόσθετη εξοικονόμηση ενέργειας επιτελώντας επιπρόσθετη επεξεργασία Τυπικά ελέγχεται από το πρωτόκολλο επικοινωνίας I²C Καρακωνσταντής Γεώργιος 5

Αισθητήρας επιλογής Εταιρεία Micron - MT9V112 Χαμηλή κατανάλωση Eνσωματώνει εξελιγμένες λειτουργίες on-chip Έξοδος 16-bit RGB (RGB565) 2 κύρια ρολόγια Καρακωνσταντής Γεώργιος 6

Ψηφιακή επεξεργασία εικόνας Αυξανόμενες εφαρμογές Εικόνα Καταγραφή εικόνας Χρωματικά μοντέλα RGB, YCbCr, CMYK Πρωταρχικά φίλτρα: άριστη αναπαραγωγή χρωμάτων Συμπληρωματικά φίλτρα: περισσότερο ευαίσθητα Φωτεινότητα (luminance), χρωματικό τόνος (hue), και κορεσμός (καθαρότητα χρώματος) Καρακωνσταντής Γεώργιος 7

Σημαντικό πεδίο έρευνας Αλυσίδα προ-επεξεργασίας Καρακωνσταντής Γεώργιος 8

Αλγόριθμοι Χρωματική σταθερότητα Οι κάμερες δεν έχουν την δυνατότητα βελτίωσης μέσω αιώνιας εξέλιξης Αλγόριθμος εξισορρόπησης του λευκού White Balance Απλός Πολύπλοκος Gray World υπόθεση Μκ = Μb = Mπ Υλοποίηση [209 211 208] pixel (184, 51):[225 211 175] [225 211 208] Καρακωνσταντής Γεώργιος 9

Αλγόριθμοι Εφαρμογή White balance Καρακωνσταντής Γεώργιος 10

Αλγόριθμοι Διάφοροι αλγόριθμοι έχουν προταθεί Υλοποίηση αλγορίθμου αυτόματης έκθεσης (auto exposure) Καρακωνσταντής Γεώργιος 11

Αλγόριθμοι Υλοποίηση αλγορίθμου αυτόματης έκθεσης (auto exposure) Max exposure time = 30ms Min exposure time = 1ms Καρακωνσταντής Γεώργιος 12

Καρακωνσταντής Γεώργιος 13

Αρχιτεκτονική Συστήματος Καρακωνσταντής Γεώργιος 14

Πρωτόκολλο επικοινωνίας I2C (Inter Integraded Circuit ) Πρωτόκολλο επικοινωνίας για την ανταλλαγή δεδομένων μεταξύ συσκευών Αποτελεί παγκόσμιο de-facto standard Ελαχιστοποιεί τις διασυνδέσεις, οπότε γίνεται χρήση λιγότερων pins Επιτρέπει μικρότερες και λιγότερο ακριβές συσκευές Χαρακτηριστικά Κάθε συσκευή που συνδέεται στο I2C bus έχει μια μοναδική διεύθυνση Μaster/slave σχέσεις μεταξύ των συσκευών Κάθε συσκευή μπορεί να λειτουργεί σαν αποστολέας ή παραλήπτης Αποτελείται από δύο γραμμές, την SDA για την μεταφορά των δεδομένων και την SCL για το ρολόι Τα δεδομένα μεταδίδονται με ταχύτητες που φθάνουν τα 100 kbits/sec στο Standard mode Καρακωνσταντής Γεώργιος 15

Πρωτόκολλο επικοινωνίας I2C (Inter Integraded Circuit ) Δυο σημαντικές συνθήκες είναι οι Start και Stop οι οποίες δημιουργούνται πάντα από τον master Μεταφορά δεδομένων Κάθε φορά στέλνονται 8 bit Κάθε byte ακολουθείται από ένα σήμα επιβεβαίωσης (Acknowledge bit) Καρακωνσταντής Γεώργιος 16

Πρωτόκολλο επικοινωνίας I2C (Inter Integraded Circuit ) Απαιτούνται16-bit για την εγγραφή ενός εσωτερικού καταχωρητή 2 μεταφορές Τυπική ανάγνωση Εάν η αίτηση ήταν εγγραφή, ο master μεταφέρει την διεύθυνση του καταχωρητή που επιθυμεί να γράψει Απλό και προσφέρει πολλά πλεονεκτήματα στον σχεδιαστή και κατασκευαστή Καρακωνσταντής Γεώργιος 17

Ροή πληροφορίας σχεδίασης VLSI Έννοια όρου Ροή πληροφορίας Μεθοδολογία σχεδίασης bottom-up Επίπεδο transistor και επίπεδο φυσικής σχεδίασης Σχεδίαση συστημάτων υψηλής απόδοσης Μεθοδολογία σχεδίασης top-down HDL περιγραφή, αυτόματη σύνθεση Ψηφιακά κυκλώματα Απαιτούνται πολλές επαναλήψεις για την ικανοποίηση των απαιτήσεων απόδοσης μέχρι την τελική κατασκευή του συστήματος Καρακωνσταντής Γεώργιος 18

Ροή πληροφορίας σχεδίασης FPGA Σύλληψη ιδέας, προσδιορισμός απαιτήσεων απόδοσης Περιγραφή του κυκλώματος σε μια γλώσσα περιγραφής υλικού (HDL) Λειτουργική προσομοίωση Σύνθεση Παραγωγή αρχείου netlist περιγραφή σε επίπεδο πυλών Υλοποίηση Μετάφραση (translate) Έλεγχος αρχείου netlist και περιορισμών (ucf) MAP Ανάθεση λογικών στοιχείων σε φυσικά στοιχεία του FPGA τα οποία υλοποιούν λογικές συναρτήσεις(lut) Place And Route (PAR) Ανάθεση λογικών στοιχείων σε συγκεκριμένες θέσεις της συσκευής Δρομολόγηση μέσω της χρήσης διασυνδέσεων Καρακωνσταντής Γεώργιος 19

Περιγραφή σε γλώσσα υλικού Επιλέχθηκε η VHDL Αποτελεί βιομηχανικό πρότυπο (IEEE 1164 standard - 1993) Δυνατότητα περιγραφής κυκλωμάτων ως προς τη δομή (structure), τη ροή δεδομένων (dataflow) και τη συμπεριφορά τους Περιγραφή της χρονικής συμπεριφοράς Δυνατότητα επαναχρησιμοποίησης σχεδιάσεων και έτοιμων βιβλιοθηκών Η χρήση κώδικα αντί σχηματικών είναι αποτελεσματικότερη για τη σχεδίαση μεγάλων και πολύπλοκων κυκλωμάτων λόγω ταχύτερης σύλληψης (capture) και ευκολότερης διαχείρισης και τροποποίησης της σχεδίασης Καρακωνσταντής Γεώργιος 20

Διάταξη προγραμματιζόμενης λογικής -FPGA Ψηφιακό λογικά προγραμματιζόμενο chip Δισδιάστατες διατάξεις λογικών βαθμίδων διασυνδεόμενες μεταξύ τους μέσω καλωδίων και λογικών διακοπτών, υπότονπλήρηέλεγχοτου σχεδιαστή. Δυο είδη FPGAs Επαναπρογραμματιζόμενα Look Up Tables (LUT) One-time προγραμματιζόμενα (OTP). Συμβατικές πύλες Καρακωνσταντής Γεώργιος 21

Διάταξη προγραμματιζόμενης λογικής -FPGA Λογική βαθμίδα FPGA: Look Up Tables (LUT) Προσδιορισμός της εξόδου με βάση τις τιμές των εισόδων Στοιχεία αποθήκευσης τιμών (0 και 1) (latches) Flip-flops για την υλοποίηση ακολουθιακής λογικής Απαιτείται κάποια τάση, γιαναλειτουργήσουνταflip-flop και οι λογικές πύλες Υπάρχουν 2 είδη pins Τα αφοσιωμένα (dedicated pins) και Τα pins του χρήστη Μια σχεδίαση FPGA συνήθως είναι σύγχρονη Δημιουργία binary αρχείου (.bit) για την εγκατάσταση Xάνουν την λειτουργικότητά τους όταν αποσυνδεθούν από την τροφοδοσία Καρακωνσταντής Γεώργιος 22

FPGA σχεδίασης SPARTAN IIE της εταιρείας Xilinx Η βασική δομή CLB περιλαμβάνει κατανεμημένη RAM Λογικό κελί (LC) Περιέχει μια γεννήτρια 4-εισόδων,λογική μεταφοράς και ελέχου, ένα στοιχείο αποθήκευσης Κάθε CLB περιέχει 4 λογικά κελιά οργανωμένα σε 2 όμοια τμήματα (slices) Οι γεννήτριες συναρτήσεις (function generators) υλοποιούνται σαν Look Up Tables (LUT) 4 εισόδων Τα στοιχεία αποθήκευσης μπορούν να διαμορφωθούν σαν D flip flops ή latches. Χρησιμοποιούνται πολυπλέκτες για την δρομολόγηση των σημάτων Τοποθετείται σε ένα board και συνδέεται με περιφερειακές συσκευές Καρακωνσταντής Γεώργιος 23

Λειτουργική προσομοίωση Υλοποίηση Εργαλεία λειτουργικής προσομοίωσης ModelSim SE 6.0a HDL Designer 2004.1b Matlab 7.0 Εργαλεία σύνθεσης και υλοποίησης Xilinx ISE 7.1i Καρακωνσταντής Γεώργιος 24

Αρχιτεκτονική Προγραμματισμός των κατάλληλων καταχωρητών του αισθητήρα και ανάγνωση των τιμών τους Ελέγχει έναν μετρητή που μετράει τον αριθμό των bits και 2 ολισθητές Προσθήκη επιπλέον κατάστασης αποστολής της διεύθυνσης του καταχωρητή Αν r_w = 1 εγγραφή Τα δεδομένα στο SDA αλλάζουν μόνο όταν το SCL είναι LOW. Το bus είναι ελεύθερο όταν SDA και SCL HIGH Δεν χρειάζεται η υλοποίηση της arbitration λειτουργίας Καρακωνσταντής Γεώργιος 25

Αρχιτεκτονική Παραλλαγμένο πρωτόκολλο επικοινωνίας για τον αισθητήρα Διαφέρει στη διαδικασία της ανάγνωσης Ο master, είτε στέλνει σήμα start και τη διεύθυνση ανάγνωσης της slave συσκευής είτε δεν στέλνει start οπότε και μεταβαίνει στην κατάσταση μεταφοράς. Η slave διεύθυνση του αισθητήρα είναι διεύθυνση εγγραφής 0XBA : 10111010 διεύθυνση ανάγνωσης 0XBB : 10111011 Καρακωνσταντής Γεώργιος 26

Αρχιτεκτονική Χρήση του HDL για δημιουργία μηχανής πεπερασμένων καταστάσεων Παραγόμενος κώδικας Πολύ καλά οργανωμένος Όμοιος με το δικό μας Προβλήματα Οι καταστάσεις δεν είναι με τη σειρά Καρακωνσταντής Γεώργιος 27

Αρχιτεκτονική Παραγωγή scl, sda start και stop σημάτων Η συχνότητα του ρολογιού είναι περίπου 100kHz HIGH_CNT και LOW_CNT Προσδιορίζουντοναριθμότωνκύκλωντου ρολογιού που απαιτούνται Χρόνοι αναμονής στις καταστάσεις έναρξης και μεταφοράς Καρακωνσταντής Γεώργιος 28

Λειτουργική Προσομοίωση Διαδικασίες Ανιχνευση σημάτων έναρξης (scl= 1 και sda= 0 ) ή τερματισμού του πρωτοκόλλου (scl= 0 και sda= 0 ) Αναγνώριση της λήψη επιβεβαίωσης από τον αισθητήρα Ανάθεση σωστών τιμών στη γραμμή sda Καρακωνσταντής Γεώργιος 29

Αποστολή διεύθυνσης εγγραφής του αισθητήρα, 0ΧΒΑ 10111010 Προγραμματισμός καταχωρητή με τη τιμή: 0000000100000000 (RGB 565), Επαναλαμβανόμενη Έναρξη Καρακωνσταντής Γεώργιος 30

Αποστολή 8-bit δεδομένων Αποστολή διεύθυνσης ανάγνωσης του αισθητήρα, 0ΧΒΒ 10111011 Καρακωνσταντής Γεώργιος 31

Σύνθεση Καρακωνσταντής Γεώργιος 32

Σύνθεση Ολισθητής Φθάνουμε μέχρι και το επίπεδο πυλών Παραγωγή ενός ψηφίου της αρτηρίας μεταφοράς δεδομένων. Καρακωνσταντής Γεώργιος 33

Σύνθεση Χρήση πόρων συσκευής Εκτίμηση χρονισμού Καρακωνσταντής Γεώργιος 34

Υλοποίηση Αναφορά MAP Ορισμός χρονικών περιορισμών (ucf αρχείο) Αριθμός πυλών Καρακωνσταντής Γεώργιος 35

Υλοποίηση Αναφορά Place And Route (χρήση στοιχείων) Αναφορά Place And Route (πραγματικές καθυστερήσεις (ns)) Καρακωνσταντής Γεώργιος 36

Υλοποίηση Αναφορά Post Place And Route Static Timing Θέτουμε χρονικούς περιορισμούς Ρολόι συστήματος Παραγόμενο ρολόι scl Καρακωνσταντής Γεώργιος 37

Υλοποίηση Κρίσιμο μονοπάτι Καρακωνσταντής Γεώργιος 38

Υλοποίηση Τοποθέτηση στο FPGA Εργαλείο floorplanner Flip flops και πολυπλέκτες Καρακωνσταντής Γεώργιος 39

Υλοποίηση Τοποθέτηση στο FPGA Εργαλείο fpga editor Ολισθητής διεύθυνσης Σήμα ελέχου shift_reg_en Καρακωνσταντής Γεώργιος 40

Υλοποίηση Κατανάλωση ισχύος Προσομοίωση χρονισμού Καθυστερήσεις Τεχνολογία 0.18/0.15 um Σύγκριση κυματομορφών Καρακωνσταντής Γεώργιος 41

Καταγραφή εικόνας Αρχιτεκτονική Η έξοδος του αισθητήρα μπορεί να έχει διάφορες μορφές όπως 4:2:2 YCrCb (CCCIR656) ή 565 RGB Το κύκλωμα αυτό δέχεται σαν είσοδο 8-bit κάθε φορά και σχηματίζει ένα pixel 16-bit τύπου RGB (565). Ενεργοποιεί την εγγραφή στη μνήμη Στέλνει κάθε φορά ένα pixel 16-bit στη μνήμη Καρακωνσταντής Γεώργιος 42

Καταγραφή εικόνας Αρχιτεκτονική Παραγωγή μηχανής με το HDL Designer Χρήση junction Εμείς χρησιμοποιούμε and στις συνθήκες Καρακωνσταντής Γεώργιος 43

Καταγραφή εικόνας (ενεργοποίηση των addr_cnt_en και wen ) Ολοκλήρωση λήψης πλαισίου (line_valid= 0, frame_valid = 0, DONE) Καρακωνσταντής Γεώργιος 44

Σύνθεση Χρήση πόρων συσκευής Καρακωνσταντής Γεώργιος 45

Υλοποίηση Αναφορά Place And Route χρήση στοιχείων πραγματικές καθυστερήσεις (ns) Καρακωνσταντής Γεώργιος 46

Υλοποίηση Αποτελέσματα Post Place And Route Static Timing Θέτουμε χρονικό περιορισμό Τοποθέτηση στο FPGA Κατανομή ρολογιού Καρακωνσταντής Γεώργιος 47

Αρχιτεκτονική Μέτρηση των χρωματικών συνιστωσών Είσοδος ένα pixel μεγέθους 16 bit Έξοδος οι συνολικές τιμές των χρωματικών συνιστωσών της εικόνας Υπολογισμός στατιστικών από το λογισμικό Αυξημένη πολυπλοκότητα Απαιτήσεις υπολογιστικής ισχύος Σύστημα μνήμης Κύκλωμα ελέγχου Αύξηση ή μείωση της διεύθυνσης μνήμης Στοιχείο μνήμης Έλεγχος καταχωρητών Ανάγνωση ή εγγραφή καταχωρητών του του πυρήνα του αισθητήρα (Core) ή του επεξεργαστή εικόνας (Image Flow Processor) Καρακωνσταντής Γεώργιος 48

Μνήμη γεμάτη (μέγεθος 256 x 16) (full_ram=1) Ολοκλήρωση εγγραφής πλαισίου και ανάγνωσης μνήμη, μετρητές χρωματικών συνιστωσών Καρακωνσταντής Γεώργιος 49

Σύστημα μνήμης Σύνθεση RAM δύο εισόδων Αντιμετώπιση προβλημάτων με την αύξηση του μεγέθους της μνήμης Καρακωνσταντής Γεώργιος 50

Σύστημα μνήμης Υλοποίηση Αποτελέσματα Post Place And Route Static Timing Τοποθέτηση στο FPGA Αλυσίδες παραγωγής σημάτων Καρακωνσταντής Γεώργιος 51

Κύκλωμα ελέγχου συστήματος Αρχιτεκτονική Συστήματος Υπεύθυνο για Το συντονισμό και τη σωστή λήψη, την εγγραφή και ανάγνωση της εικόνας Ενεργοποίηση του I2C πρωτοκόλλου επικοινωνίας Ενεργοποιείται το σήμα ανάγνωσης rdin Δυναμική ανάθεση μεγεθών Καρακωνσταντής Γεώργιος 52

Ενεργοποίηση I2C Ολοκλήρωση λήψης εικόνας, ανάγνωση από το σύστημα Καρακωνσταντής Γεώργιος 53

Σύνθεση Καρακωνσταντής Γεώργιος 54

Σύνθεση Χρήση πόρων συσκευής Εκτίμηση χρονισμού Καρακωνσταντής Γεώργιος 55

Υλοποίηση Αναφορά MAP Ορισμός χρονικών περιορισμών (ucf αρχείο) Αριθμός πυλών Καρακωνσταντής Γεώργιος 56

Αναφορά Place And Route Υλοποίηση Αποτελέσματα Post Place And Route Static Timing Καρακωνσταντής Γεώργιος 57

Υλοποίηση Τοποθέτηση στο FPGA Αλυσίδες παραγωγής σημάτων Αριστερά η αλυσίδα μέτρησης των χρωμάτων των pixel Καρακωνσταντής Γεώργιος 58

Υλοποίηση Κατανομή σήματος reset Παραγωγή ψηφίου του μετρητή red_pixel Συνολική κατανάλωση ισχύος: 24.60 mw Καρακωνσταντής Γεώργιος 59

Κύκλωμα διασύνδεσης Εγγραφή και ανάγνωση εικόνας Καρακωνσταντής Γεώργιος 60

Σύνθεση Ένα από τα 10 πιο κρίσιμα μονοπάτια Καρακωνσταντής Γεώργιος 61

Ανάγνωση εικόνας Χρήση μετρητών Ενεργοποίηση line_valid - HSYNC, frame_valid - VSYNC 8-bit έξοδος Καρακωνσταντής Γεώργιος 62

Έναρξη λήψης εικόνας Παρατηρούμε το ram_empty και τους μετρητές των χρωματικών συνιστωσών Καρακωνσταντής Γεώργιος 63

Ολοκλήρωση λήψης πλαισίου Παρατηρούμε την ενεργοποίηση του σήματος eof Καρακωνσταντής Γεώργιος 64

Ανάγνωση μνήμης Τα pixel που διαβάζονται ταυτίζονται με αυτά του αρχείου κειμένου Καρακωνσταντής Γεώργιος 65

Συμπεράσματα Yλοποιήθηκαν οι δύο πιο σημαντικοί αλγόριθμoι προ-επεξεργασίας τα υποκυκλώματα διεπαφής του αισθητήρα Ενσωμάτωση σημάτων για καλύτερο έλεγχο και συντονισμό Δυναμική ανάθεση μεγεθών Ικανοποίηση όλων των περιορισμών Πραγματική καθυστέρηση πολύ μικρότερη από την απαιτούμενη Διατήρηση λειτουργικότητας Καρακωνσταντής Γεώργιος 66

Μελλοντική Εργασία Υλοποίηση του λογισμικού τμήματος της διεπαφής Προδιαγραφές Χρήση μεγαλύτερου FPGA όπως Virtex II Pro Υλοποίηση αλγορίθμων συμπίεσης (JPEG, MPEG4) Ραγδαία ανάπτυξη ψηφιακού οπτικού κόσμου Ανάπτυξη και εδραίωση των δικτύων τρίτης και τέταρτης γενιάς Εικόνα: το βασικότερο μελλοντικό μέσο επικοινωνίας Hardware for the SIF PowerPC drivers for the SIF DMA Image Sensor SIF Host Unit (PPC or ublaze) Memory Contr. SDRAM Hardware Acc. LCD Contr. LCD Bridge GPIO INT control UART Other.. Καρακωνσταντής Γεώργιος 67

ΤΕΛΟΣ ΠΑΡΟΥΣΙΑΣΗΣ Σας ευχαριστώ για την προσοχή σας Καρακωνσταντής Γεώργιος 68