ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Embedded processor Nios II σε FPGA της Altera ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Embedded processor Nios II σε FPGA της Altera ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ"

Transcript

1 ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Embedded processor Nios II σε FPGA της Altera ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ Εισηγητής: Μπούζας Αθανάσιος Επιβλέπων: Κολιοπάνος Χρήστος

2 ΠΕΡΙΕΧΟΜΕΝΑ 1. ΕΙΣΑΓΩΓΗ 1.1 ΣΤΟΧΟΣ ΠΤΥΧΙΑΚΗΣ ΕΡΓΑΣΙΑΣ 1.2 ΤΙ ΕΙΝΑΙ ΤΟ FPGA 1.3 ΕΡΓΑΛΕΙΑ ΠΟΥ ΘΑ ΧΡΗΣΙΜΟΠΟΙΗΣΟΥΜΕ 1.4 ΣΧΕΔΙΑΣΜΟΣ ΕΝΟΣ NIOS II ΣΥΣΤΗΜΑΤΟΣ 1.5 ΤΟ QUARTUS ΤΗΣ ALTERA 1.6 ALTERA MONITOR PROGRAM 1.7 ΤΙ ΕΙΝΑΙ ΤΟ SOPC ΤΗΣ ALTERA 1.8 ΚΑΘΟΡΙΣΜΟΣ ΚΑΙ ΔΗΜΙΟΥΡΓΙΑ ΕΝΟΣ NIOS II ΣΥΣΤΗΜΑΤΟΣ 2. ΠΕΙΡΑΜΑΤΙΚΗ ΔΙΑΔΙΚΑΣΙΑ 2.1 ΞΕΚΙΝΩΝΤΑΣ ΤΟ QUARTUS II ΤΗΣ ALTERA 2.2 ΔΗΜΙΟΥΡΓΙΑ ΣΥΣΤΗΜΑΤΟΣ ΜΕ ΤΟ SOPC BUILDER 2.3 ΕΝΤΑΞΗ ΤΟΥ NIOS II ΣΥΣΤΗΜΑΤΟΣ ΣΤΟ QUARTUS II 2.4 ΕΙΣΑΓΩΓΗ ΠΡΟΓΡΑΜΜΑΤΟΣ ΜΕ ΤΟ ALTERA MONITOR PROGRAM ΔΗΛΩΣΗ ΠΝΕΥΜΑΤΙΚΗΣ ΙΛΙΟΚΤΗΣΙΑΣ Σελίδα 1

3 Η παρούσα εργασία αποτελεί προϊόν αποκλειστικά δικής μου προσπάθειας. Όλες οι πηγές που χρησιμοποιήθηκαν περιλαμβάνονται στη βιβλιογραφία και γίνεται ρητή αναφορά σε αυτές μέσα στο κείμενο όπου έχουν χρησιμοποιηθεί. ΥΠΟΓΡΑΦΗ Σελίδα 2

4 ΚΕΦΑΛΑΙΟ 1 ΕΙΣΑΓΩΓΗ Σελίδα 3

5 1.1 Στόχος πτυχιακής εργασίας Στόχος αυτής της πτυχιακής εργασίας είναι η δημιουργία και η εφαρμογή ενός Nios II συστήματος στην πλακέτα DE2-115 της ALTERA με σκοπό την εμφάνιση μιας εικόνας σε μια LCD οθόνη. Για την πραγματοποίηση της εργασίας αυτής είναι απαραίτητη η χρήση υλικού (hardware) και λογισμικού (software). Το hardware που θα χρησιμοποιήσουμε είναι η πλακέτα DE2-115 της ALTERA αλλά και μια οθόνη LCD την οποία θα συνδέσουμε με ένα VGA καλώδιο με την πλακέτα έτσι ώστε να μπορέσει να εμφανιστεί η εικόνα που θα έχουμε εισάγει. Η πλακέτα αυτή είναι ένα FPGA το οποίο αναλύετε παρακάτω. Το software που θα χρειασθούμε παρέχεται από την ALTERA μαζί με την πλακέτα. Πρόκειται για το Quartus II 11.0sp1, το οποίο συμπεριλαμβάνει σαν υποπρόγραμμα το SOPC Builder αλλά και το Altera Monitor Program. 1.2 Τι είναι το FPGA Το FPGA ή Field Programmable Gate Array είναι ένας τύπος προγραμματιζόμενου κυκλώματος γενικής χρήσης το οποίο διαθέτει πολύ μεγάλο αριθμό τυποποιημένων πυλών και άλλων ψηφιακών λειτουργιών όπως απαριθμητές, καταχωρητές μνήμης, γεννήτριες PLL κα. Σε ορισμένα από αυτά ενσωματώνονται και αναλογικές λειτουργίες. Κατά τον προγραμματισμό του FPGA, ο οποίος γίνεται πάντοτε ενώ αυτό είναι τοποθετημένο στο τυπωμένο κύκλωμα, ενεργοποιούνται οι επιθυμητές λειτουργίες και διασυνδέονται μεταξύ τους έτσι ώστε το FPGA να συμπεριφέρεται ως ολοκληρωμένο κύκλωμα με συγκεκριμένη λειτουργία. Ο κώδικας με τον οποίο προγραμματίζεται το FPGA γράφεται σε γλώσσες περιγραφής υλικού (VHDL, AHDL, Verilog). Το FPGA έχει παρόμοιο πεδίο εφαρμογών με άλλα προγραμματιζόμενα ολοκληρωμένα ψηφιακά κυκλώματα όπως τα PLD και τα ASIC. Όμως τα ιδιαίτερα χαρακτηριστικά του FPGA είναι τα εξής : Το FPGA χάνει τον προγραμματισμό του κάθε φορά που διακόπτεται η τάση τροφοδοσίας του. Επομένως απαιτεί εξωτερικό μικροεπεξεργαστή ή μνήμη με μόνιμη συγκράτηση δεδομένων (non-volatile memory) από τα οποία θα προγραμματίζεται κάθε φορά που επανέρχεται η τάση τροφοδοσίας. Ο προγραμματισμός του FPGA μπορεί να αλλάζει κάθε φορά που τροποποιείται το λογισμικό του μικροεπεξεργαστή ή τα δεδομένα της μνήμης που το ελέγχει. Δεν υπάρχει όριο στο πόσες φορές μπορεί να επαναπρογραμματιστεί. Η κατανάλωση ισχύος είναι σημαντικά αυξημένη σε σχέση με τα ASIC. Σελίδα 4

6 Έτσι το FPGA είναι ιδιαίτερα κατάλληλο εκεί που οι παράμετροι λειτουργίας πρέπει να αλλάζουν συχνά ή σε μικρές ποσότητες παραγωγής, ενώ τα ASIC, λόγω μαζικής παραγωγής, είναι φτηνότερα εκεί που απαιτούνται μεγάλες ποσότητες και η επιθυμητή λειτουργία είναι αυστηρά προκαθορισμένη, χωρίς σφάλματα ( το ASIC δεν επαναπρογραμματίζεται ). Βασική δομική μονάδα του FPGA είναι το λογικό μπλοκ, με τη χρήση του οποίου υλοποιούνται οι λογικές συναρτήσεις που εκφράζουν τη λειτουργία ενός ψηφιακού κυκλώματος. Ανάλογα με το μέγεθος του κυκλώματος πολλά λογικά μπλοκ συνδέονται για να υλοποιήσουν το πλήθος των απαραίτητων λογικών συναρτήσεων. 1.3 Εργαλεία που θα χρησιμοποιήσουμε Quartus II 11.0sp1 SOPC Builder (εργαλείο του Quartus II ) Altera Monitor Program Πλακέτα DE2-115 της ALTERA Μια οθόνη LCD Εικόνα 1. Η DE2-115 της ALTERA Σελίδα 5

7 1.4 Σχεδιασμός ενός Nios II συστήματος Ο σχεδιασμός ενός Nios II συστήματος, αποτελείται από τρείς τύπους σχεδίασης: τον σχεδιασμό υλικού, τον σχεδιασμό λογισμικού και τον σχεδιασμό του συστήματος ο οποίος συμπεριλαμβάνει υλικό αλλά και λογισμικό. Για ποιό απλά Nios II συστήματα ένας άνθρωπος θα μπορούσε να υλοποιήσει και τους τρείς τύπους σχεδίασης. Για ποιο πολύπλοκα συστήματα χρειάζονται ξεχωριστές ομάδες ανθρώπων για τον σχεδιασμό υλικού και λογισμικού. Σε αυτές τις περιπτώσεις ο σχεδιασμός του συστήματος θα χρειαστεί να υλοποιηθεί και από τις δυο ομάδες από τις οποίες θα παίρνει πληροφορίες. Κατά τον σχεδιασμό είναι πολύ σημαντικό οι δύο ομάδες να γνωρίζουν όλες τις πληροφορίες στα σημεία όπου διασταυρώνονται το υλικό και το λογισμικό. Στο σχήμα 1 βλέπουμε το μοντέλο σχεδιασμού ενός Nios II συστήματος. Στην εργασία αυτή επικεντρωνόμαστε κυρίως στο σχεδιασμό υλικού. Σχήμα 1. Το γενικό μοντέλο σχεδιασμού ενός συστήματος Nios II 1.5 Το Quartus της ALTERA Το Quartus είναι ένα πρόγραμμα σχεδιασμού λογισμικού της ALTERA. Τα κύρια χαρακτηριστικά του είναι τα εξής: 1. Η εφαρμογή της VHDL και Verilog γλώσσας για την περιγραφή του υλικού. 2. Οπτική περιγραφή των λογικών κυκλωμάτων. Σελίδα 6

8 3. Προσομοίωση της κυματομορφής του διανύσματος. Το Quartus II, το οποίο θα χρησιμοποιήσουμε και στην συγκεκριμένη εργασία, είναι ένα πρόγραμμα που παρέχεται από την ALTERA για την ανάλυση και την σύνθεση HDL σχεδίων, το οποίο επιτρέπει στον χρήστη να συντάξει και να μεταγλωττίσει ένα σχέδιο, να μελετήσει διαγράμματα RTL, να προσομοιώσει το σχέδιο και να παρατηρήσει τυχόν αντιδράσεις σε αυτό αλλά και αλλαγές οι οποίες θα βοηθήσουν στην βελτίωση του. Τέλος σου δίνει την δυνατότητα να ρυθμίσουμε τις παραμέτρους για την σύνδεση του FPGA με την συσκευή προορισμού, στην προκειμένη περίπτωση την οθόνη LCD. Η τελευταία έκδοση του λογισμικού είναι η 13sp1. Η έκδοση αυτή υποστηρίζει τις εξής συσκευές : Cyclone III, Cyclone IV, MAX II και MAX V σε όλη την γκάμα τους καθώς και μερικές από τις Arria II και Cyclone V. 1.6 Altera Monitor Program Το Altera Monitor Program είναι ένα πρόγραμμα το οποίο παρέχεται από την ALTERA με το University Program Installer και επιτρέπει στο χρήστη πολύ εύκολα να μεταγλωττίσει και να εντοπίσει σφάλματα σε γλώσσα Assembly αλλά και σε προγράμματα γραμμένα σε γλώσσα C. Εμφανίζει την κατάσταση του Nios II επεξεργαστή κατά την διάρκεια της εκτέλεσης του προγράμματος, όπως για παράδειγμα την κατάσταση της μνήμης του επεξεργαστή. Επίσης επιτρέπει πολύ εύκολα να ορίσει κάποιος τα σημεία διακοπής κατά την διάρκεια εκτέλεσης του προγράμματος. Το πρόγραμμα αυτό δε υποστηρίζει συστήματα που έχουν ανατηχθεί χρησιμοποιώντας το νέο εργαλείο του Quartus II, Qsys. Οπότε είναι απαραίτητο να χρησιμοποιηθεί το SOPC Builder αν θέλει ο χρήστης να χρησιμοποιήσει και το Altera Monitor Program. 1.7 Τι είναι το SOPC της ALTERA Το SOPC (SOPC Builder) είναι ένα εργαλείο που χρησιμοποιείται σε συνδιασμό με το λογισμικό Quartus ΙΙ. Επιτρέπει στο χρήστη να δημιουργήσει εύκολα ένα σύστημα βασισμένο στον επεξεργαστή Nios II, επιλέγοντας απλά τις επιθυμητές λειτουργικές μονάδες και την επιλογή των παραμέτρων τους. Σελίδα 7

9 1.8 Καθορισμός και δημιουργία ενός Nios II συστήματος Αφού κάνουμε μια ανάλυση των απαιτήσεων του υλικού του συστήματος, με την βοήθεια του SOPC Builder θα καθορίσουμε τον Nios II επεξεργαστή, τις μνήμες καθώς και τα υπόλοιπα στοιχεία που απαιτεί το σύστημα. Το SOPC Builder αυτόματα δημιουργεί την διασύνδεση μεταξύ των λογικών στοιχείων που χρειάζεται για να ενσωματωθούν στο σύστημα. Μπορούμε να επιλέξουμε από μία λίστα με τυποποιημένους επεξεργαστές και άλλα στοιχεία που παρέχονται από το πρόγραμμα Nios II EDS. Επίσης μπορούμε να προσθέσουμε το δικό μας προσαρμοσμένο υλικό για να επιταχύνουμε την απόδοση του συστήματος καθώς και να επιταχύνουμε τον επεξεργαστή ή ακόμα και προσθέτοντας κάποια στοιχεία να τον αποφορτίσουμε. Το SOPC Builder θα δημιουργήσει κάποια αρχεία τα οποία θα περιέχουν όλες τις απαραίτητες πληροφορίες σχετικά με το σχεδιασμό του υλικού και τη διασύνδεση του. Αφού δημιουργήσουμε το Nios II σύστημα με το SOPC Builder, το ενσωματώνει στο project του προγράμματος Quartus II. Στη συνέχεια με την βοήθεια του προγράμματος αυτού μπορούμε να εκτελέσουμε όλες τις εργασίες που απαιτούνται για να δημιουργήσουμε το τελικό σχέδιο το οποίο θα προσομοιώσουμε στο FPGA. Στο σχήμα 2 μπορούμε να δούμε ένα παράδειγμα συστήματος που μπορεί να εφαρμοστεί σε ένα FPGA. Σχήμα 2. Ένα Nios II σύστημα Σελίδα 8

10 ΚΕΦΑΛΑΙΟ 2 ΠΕΙΡΑΜΑΤΙΚΗ ΔΙΑΔΙΚΑΣΙΑ 2.1 ΞΕΚΚΙΝΩΝΤΑΣ ΤΟ QUARTUS II ΤΗΣ ALTERA Για να ξεκινήσουμε ανοίγουμε το πρόγραμμα Quartus II. Με αυτό το πρόγραμμα θα δημιουργήσουμε το project ακολουθώντας τα παρακάτω βήματα : 1. Κάνουμε κλικ στο Create a new project στον οδηγό δημιουργίας του project. Εικόνα 2. Ο οδηγός δημιουργίας Project 2. Πατάμε Next. Στη σελίδα που εμφανίζετε επιλέγουμε που θα αποθηκευτούν τα αρχεία που θα δημιουργηθούν από το Quartus II. Πρέπει να προσέξουμε να μην υπάρχουν κενά στο μονοπάτι όπου το αποθηκεύουμε. Σε αυτή τη περίπτωση το αποθηκεύουμε στο C στον φάκελο ptyxiakh. Στη συνέχεια δίνουμε το όνομα vga_systems στο project και πατάμε Next. Σελίδα 9

11 Εικόνα 3. Τα στοιχεία του project 3. Πατάμε και πάλι Next καθώς δεν χρειάζεται να κάνουμε κάποια αλλαγή. Στη σελίδα που εμφανίζετε θα επιλέξουμε το μοντέλο της πλακέτας που χρησιμοποιούμε. Στο Device family θα επιλέξουμε τον Cyclone IV E. Στο Target Device επιλέγουμε Specific device selected in `Available devices` list. Στη συνέχεια επιλέγουμε το EP4CE115F29C7 από την λίστα και πατάμε Next. Πατάμε και πάλι Next αφού δεν χρειάζεται να αλλάξουμε κάτι και μετά Finish. Έτσι έχουμε ολοκληρώσει τον οδηγό για την δημιουργία του Project. Εικόνα 4. Family & Device Settings Σελίδα 10

12 2.2 ΔΗΜΙΟΥΡΓΙΑ ΣΥΣΤΗΜΑΤΟΣ ΜΕ ΤΟ SOPC BUILDER Για να ανοίξουμε το SOPC Builder επιλέγουμε tools > SOPC Builder. Δίνουμε το όνομα vga_systems στο σύστημα και επιλέγουμε VHDL στον οποίο η ενότητα συστημάτων θα διευκρινιστεί,όπως φαίνετε στην εικόνα 5, και πατάμε οκ. Το SOPC Builder έχει έτοιμη την πρώτη διεπαφή η οποία είναι το ρολόι του συστήματος που ελέγχει την ταχύτητα του επεξεργαστή. Σε αυτό το παράδειγμα θα χρησιμοποιήσουμε το ρολόι 50.0 Mhz που παρέχετε στην πλακέτα DE Επίσης υπάρχει η δυνατότητα να αλλάξουμε το όνομα και την ταχύτητα του ρολογιού. Εάν όχι, προσθέτουμε ένα ρολόι που ονομάζετε clk με την πηγή ως εξωτερική (external) και την συχνότητα καθορισμένη στα 50.0 Mhz Στη συνέχεια θα τοποθετήσουμε τα εξαρτήματα που χρειάζονται για να δημιουργηθεί το σύστημα ακολουθώντας τα παρακάτω βήματα : Εικόνα 5. Δημιουργία συστήματος στο SOPC Builder 1. Στη βιβλιοθήκη εξαρτημάτων επιλέγουμε το Nios II processor όπου θα είναι ο επεξεργαστής που θα χρησιμοποιήσουμε και πατάμε add. Θα εμφανιστεί ένα παράθυρο για τις ρυθμίσεις του επεξεργαστή. Σε αυτό επιλέγουμε τον Nios II/E όπου είναι η ποιο απλή έκδοση του Nios II και πατάμε finish καθώς τις υπόλοιπες ρυθμίσεις θα τις κάνουμε αργότερα. Πατώντας δεξί κλικ στο όνομα του επεξεργαστή και μετά rename αλλάζουμε το όνομα σε cpu. 2. Στη συνέχεια θα επιλέξουμε από τη βιβλιοθήκη τον SRAM/SSRAM Controller και πατάμε add. Στο παράθυρο των ρυθμίσεων που εμφανίζετε επιλέγουμε στο μοντέλο της πλακέτας την DE2-115 και επιλέγουμε το Use as a pixel buffer for video out καθώς αυτή θα είναι η μνήμη την οποία θα χρησιμοποιεί το σύστημα σαν buffer. Μετά πατάμε finish και το μετονομάζουμε σε sram. Σελίδα 11

13 Εικόνα 6. SRAM/SSRAM Controller Editor 3. Στη βιβλιοθήκη επιλέγουμε το SDRAM Controller,όπου θα είναι η μνήμη του συστήματος, και πατάμε add. Στο παράθυρο που εμφανίζετε κάνουμε τις εξής ρυθμίσεις. Στη καρτέλα Memory profile στο presets επιλέγουμε custom. Στο Address widths βάζουμε στο Row 13 και στο Column 10. Στην καρτέλα Timing επιλέγουμε στο CAS latency cycles to 2, Issue one refresh command every βάζουμε 31.25, και στο Access time 5.4. Τις υπόλοιπες ρυθμίσεις τις αφήνουμε ως έχουν. Μετά πατάμε finish και το μετονομάζουμε σε sdram. Σελίδα 12

14 Εικόνα 7. SDRAM Controller Editor 4. Για να μπορούμε να συνδέουμε την πλακέτα με τον Η/Υ προσθέτουμε την διεπαφή JTAG UART. Η διεπαφή αυτή είναι υπεύθυνη για την επικοινωνία μεταξύ του FPGA και του Η/Υ. Οπότε το επιλέγουμε και πατάμε add. Δεν χρειάζεται να κάνουμε κάποια αλλαγή στις ρυθμίσεις στο παράθυρο που εμφανίζετε. Πατάμε finish και το μετονομάζουμε σε jtag_uart. Εικόνα 8. JTAG/UART Editor 5. Η επόμενη διεπαφή που θα προσθέσουμε είναι το Clock Signals for DE- Series Board Peripherals. Η διεπαφή αυτή μπορεί να δώσει διαφορετικές συχνότητες από αυτή του κυρίως ρολογιού. Στο συγκεκριμένο παράδειγμα χρειαζόμαστε συχνότητα 25.0 Mhz για να λειτουργήσει η οθόνη. Στο παράθυρο που εμφανίζετε ξετσεκάρουμε τα Audio και SDRAM και στο Configurations αλλάζουμε το DE-Series Board σε DE2-115 που είναι και η πλακέτα που χρησιμοποιούμε. Πατάμε finish και το μετονομάζουμε σε external_clocks. Σελίδα 13

15 Εικόνα 9. External Clocks Editor 6. Επιλέγουμε από την βιβλιοθήκη τη διεπαφή Pixel Buffer DMA Controller και πατάμε add. Η διεπαφή αυτή παράγει τα δεδομένα του Video και τα στέλνει στον VGA Controller. Ενώ δεν περιέχει μνήμη σου παρέχει την δυνατότητα να την συνδέσεις με εξωτερική μνήμη που θα παίζει τον ρόλο του buffer. Στις ρυθμίσεις θα αλλάξουμε στο Frame Resolution το Width σε 320 και το Height σε 240. Αυτή θα είναι και η ανάλυση της εικόνας. Πατάμε finish και μετονομάζουμε σε vga_pixel_buffer. Σελίδα 14

16 Εικόνα 10. VGA_Pixel_Buffer Editor 7. Στη συνέχεια θα προσθέσουμε την διεπαφή RGB Resampler. Η διεπαφή αυτή μετατρέπει το σήμα από 16-bit που είναι το σήμα εισόδου σε 30-bit σήμα εξόδου όπου είναι απαραίτητο για την λειτουργία της οθόνης. Δεν χρειάζονται κάποιες αλλαγές. Πατάμε finish και μετονομάζουμε σε video_rgb_ resampler. Εικόνα 11. Video_RGB_Resampler Editor Σελίδα 15

17 8. Από την βιβλιοθήκη προσθέτουμε το Video Scaler πατώντας add. Πρόκειται για μια διεπαφή που σου δίνει την δυνατότητα να αλλάζεις την αρχική ανάλυση της εικόνας. Στο παράθυρο των ρυθμίσεων αλλάζουμε στο Scaling Parameters τα Width Scaling Factor και Height Scaling Factor σε 2. Στο Incoming Frame Resolution αλλάζουμε τα Width και Height σε 320 και 240 αντίστοιχα ενώ στο Pixel Format αλλάζουμε τα Color Bits σε 10. Πατάμε finish και το μετονομάζουμε σε video_scaler. Εικόνα 12. Video_Scaler Editor 9. Η επόμενη διεπαφή που θα προσθέσουμε είναι το Dual-Clock FIFO το οποίο έχει ως σκοπό να δίνει την σωστή συχνότητα στον VGA Controller. Αφού πατήσουμε add, στο παράθυρο που εμφανίζετε πατάμε finish μιας και δεν χρειάζετε να κάνουμε κάποια αλλαγή και το μετονομάζουμε σε dual_clock_fifo. Σελίδα 16

18 Εικόνα 13. Dual_Clock_FIFO Editor 10. Τέλος προσθέτουμε τον VGA Controller από την βιβλιοθήκη. Ο VGA Controller περιγράφει τις διαστάσεις πλάτους και ύψους μιας οθόνης, όπως μια οθόνη Η/Υ, σε pixel. Ορισμένοι συνδυασμοί πλάτους και ύψους είναι τυποποιημένοι. Υψηλότερη ανάλυση (Display Resolution) σημαίνει ποιο καθαρή εικόνα. Αφού πατήσουμε add στο παράθυρο που εμφανίζετε θα αλλάξουμε από το Device and Mode το DE-Series Board και θα το βάλουμε DE Πατάμε finish και το μετονομάζουμε σε VGA_Controller. Εικόνα 14. VGA_Controller Editor Έχοντας τελειώσει με την προσθήκη των διεπαφών χρειάζεται να κάνουμε τις απαραίτητες συνδέσεις για να λειτουργήσουν. Για να συνδέσουμε μια διεπαφή με μια άλλη πηγαίνουμε πάνω στις εισόδους των διεπαφών και τότε εμφανίζονται όλες οι δυνατές συνδέσεις. Για να ενεργοποιήσουμε κάποια σύνδεση κάνουμε κλικ πάνω στην κουκίδα που βρίσκεται στη συγκεκριμένη σύνδεση. Οι συνδέσεις που θα κάνουμε είναι οι εξής : Σελίδα 17

19 1. Συνδέουμε το Avalon_pixel_dma_master από το vga_pixel_buffer με το Avalon_sram_slave από την sram. 2. Από το vga_pixel_buffer το Avalon_pixel_sourse με το Avalon_rgb_sink από το video_rgb_resampler. 3. Το Avalon_rgb_sourse από το video_rgb_resampler με το Avalon_scaler_sink από το video_scaler. 4. Το Avalon_scaler_sourse από το video_scaler με το Avalon_dc_buffer_sink από το dual_clock_fifo. 5. Το Avalon_dc_buffer_sourse από το dual_clock_fifo με το Avalon_vga_sink από τον vga_controler. Στη συνέχεια θα ρυθμίσουμε τα ρολόγια του συστήματος. Στο πάνω μέρος της οθόνης στο πλαίσιο clock settings υπάρχουν τα διαθέσιμα ρολόγια. Θα μετονομάσουμε το clk_0 σε clk_50, το external_clocks_sys_clk σε sys_clk και το external_clocks_vga_clk σε vga_clk. Στην καρτέλα clock των διεπαφών επιλέγουμε το clk_50 για την διεπαφή external_clocks, το vga_clk για τις διεπαφές dual_clock_fifo και vga_controller. Για όλες τις υπόλοιπες θα επιλέξουμε το sys_clk. Στη καρτέλα IRQ βάζουμε την τιμή 8 στο jtag_uart. Πηγαίνουμε στο System > Assign Base Addresses και κάνουμε κλικ. Έτσι δίνουμε σε όλες τις διεπαφές καινούρια διεύθυνση. Κάνουμε διπλό κλικ στη cpu και ανοίγει το παράθυρο των ρυθμίσεων. Στο Reset Vector Memory αλλά και στο Exception Vector Memory επιλέγουμε την sdram πατάμε finish. Σελίδα 18

20 Εικόνα 15. CPU Editor Μετά ανοίγουμε το παράθυρο ρυθμίσεων από το VGA_Pixel_buffer και στο Default Buffer Start Address αλλά και στο Default Back Buffer Start Address βάζουμε την τιμή της διεύθυνσης από την καρτέλα Base της sram, στην προκειμένη περίπτωση (0x ). Μετά πατάμε finish. Σελίδα 19

21 Εικόνα 16. VGA_Pixel_Buffer Editor Το σύστημα είναι έτοιμο τώρα όπως φαίνετε και στην εικόνα 17. Πατάμε Generate και το αποθηκεύουμε με το όνομα vga_systems. Στη συνέχεια το SOPC Builder δημιουργεί το σύστημα, κάτι που μπορεί να χρειαστεί λίγα λεπτά. Όταν ολοκληρωθεί θα μας βγάλει το μήνυμα System generation was successful. Ελαχιστοποιούμε το παράθυρο και επιστρέφουμε στο Quartus II. Σελίδα 20

22 Εικόνα 17. SOPC Builder 2.3 ΕΝΤΑΞΗ ΤΟΥ NIOS II ΣΥΣΤΗΜΑΤΟΣ ΣΤΟ QUARTUS II Έχοντας ολοκληρώσει πλέον το σύστημα μένει να το εντάξουμε στο Quartus II. Πηγαίνουμε Assignments > Settings και μας εμφανίζεται το παράθυρο των ρυθμίσεων. Διαλέγουμε στις κατηγορίες (Category) τους φάκελους (files) και αφού επιλέξουμε όλα τα αρχεία τα αφαιρούμε πατώντας το remove. Στο file name πατάμε στο εικονίδιο της περιήγησης. Στο παράθυρο που εμφανίζετε επιλέγουμε στο Files of type το Script files και επιλέγουμε το αρχείο vga_systems.qip. Πατάμε open, μετά add και οκ. Στη συνέχεια πηγαίνουμε Processing > Start > Start Analysis & Elaboration. Το πρόγραμμα ξεκινάει την προετοιμασία για την ενσωμάτωση του συστήματος, αυτό μπορεί να διαρκέσει λίγα λεπτά. Όταν ολοκληρωθεί θα παρουσιαστεί το μήνυμα Analysis & Elaboration was successful. Για να συνεχίσουμε πατάμε ok. Σελίδα 21

23 Εικόνα 18. Settings-files Τώρα είμαστε έτοιμοι να συνδέσουμε τα PIN της πλακέτας. Για να το κάνουμε αυτό πηγαίνουμε Assignments > Pin Planner. Στο κάτω μέρος του παραθύρου που ανοίγει υπάρχει μια λίστα με όλα τα PIN από τις διεπαφές που είχαμε προσθέσει πριν στο SOPC Builder. Θα πρέπει για κάθε ένα από αυτά να βάλουμε στο Location την σωστή θέση πάνω στο τσιπ που φαίνετε πάνω δεξιά στην οθόνη. Αυτό θα το κάνουμε με τη βοήθεια του User Manual της DE2-115 που παρέχετε στο διαδίκτυο από την ALTERA. Αφού τελειώσουμε με όλα τα PIN πηγαίνουμε File > Close για να αποθηκευτούν οι αλλαγές. Στη συνέχεια πηγαίνουμε στο μενού Assignments > Device. Κάνουμε κλικ το Device and Pin Options και μετά διαλέγουμε το Unused Pins. Στο Reserve all unused pins επιλέγουμε το As input tri-stated with weak pull-up και πατάμε ok για να κλείσουν όλα τα παράθυρα. Σελίδα 22

24 Εικόνα 19. Pin Planner Έπειτα πηγαίνουμε Assignments > Settings και στο Category επιλέγουμε Time Quest Timing Analyzer. Στο file name πατάμε στο εικονίδιο της περιήγησης. Βρίσκουμε τον φάκελο που δημιουργήσαμε για να αποθηκεύσουμε το project και επιλέγουμε το αρχείο cpu.sdc. Το ανοίγουμε πατάμε add και μετά ok. Εικόνα 20. Settings-Time Quest Timing Analyzer Σελίδα 23

25 Για να ολοκληρωθεί το project δε μένει παρά να το τρέξουμε. Για να γίνει αυτό πηγαίνουμε στο Assignments > Start Compilation. Αυτό θα χρειαστεί μερικά λεπτά για να ολοκληρωθεί. Όταν τελειώσει θα εμφανιστεί το μήνυμα Full Compilation was Successful. Με αυτό τον τρόπο έχουμε δημιουργήσει το vga_systems.ptf αρχείο που είναι απαραίτητο για να τρέξουμε το πρόγραμμά που θα εισάγουμε στο FPGA. 2.4ΕΙΣΑΓΩΓΗ ΠΡΟΓΡΑΜΜΑΤΟΣ ΜΕ ΤΟ ALTERA MONITOR PROGRAM Είμαστε έτοιμοι να τρέξουμε το πρόγραμμα στο FPGA. Ανοίγουμε λοιπόν το ALTERA Monitor Program. Πηγαίνουμε File > New Project και δημιουργούμε ένα νέο project. Στην ερώτηση που μας γίνετε πατάμε Yes και περιμένουμε να το φορτώσει στην πλακέτα. Θα εμφανιστεί το μήνυμα The SOPC Builder has been successfully downloaded on to the board. Πηγαίνουμε στο Project Settings, στην καρτέλα System Settings, στο System description (PTF) File θα επιλέξουμε το vga_systems.ptf από τον φάκελο που αποθηκεύονται τα αρχεία μας και στο Quartus II Programming (SOF) File επιλέγουμε το αρχείο vga_systems.sof. Εικόνα 21. Project Settings-1 Στην καρτέλα Program Setting πρέπει να επιλέξουμε το αρχείο με τον κώδικα c τον οποίο θέλουμε να κατεβάσουμε και να τρέξουμε στο FPGA. Στη δικιά μας περίπτωση ο κώδικας μας δίνετε έτοιμος. Ο συγκεκριμένος κώδικας περιέχει ένα πλήθος από pixelsτα οποία περιγράφουν την εικόνα την οποία θέλουμε να αναπαραστήσουμε. Σελίδα 24

26 Εικόνα 22. Project Settings-2 Τέλος στην καρτέλα Memory Settings, στο text Section, στο Start offset in device (hex) πρέπει να βάλουμε την τιμή της διεύθυνσης της μνήμης η οποία φαίνετε από πάνω στο Memory device. Το ίδιο ακριβώς κάνουμε και στο data section, στο Start offset in device (hex) και πατάμε ok. Εικόνα 23. Project Settings-3 Σελίδα 25

27 Έχοντας κάνει όλες τις ρυθμίσεις μένει να τρέξουμε το πρόγραμμα. Πηγαίνουμε λοιπόν Actions > Compile & Load. Βλέπουμε το πρόγραμμα να τρέχει και να εμφανίζονται τα αποτελέσματα όπως στην εικόνα 24. Εικόνα 24. Altera Monitor Program Η εικόνα η οποία θα εμφανιστεί στην οθόνη που είναι συνδεδεμένη με το FPGA είναι το αποτέλεσμα της αναπαράστασης όλων των pixel τα οποία έχουν δοθεί με τον κώδικα, όπως αναφέρεται και παραπάνω. Το αποτέλεσμα φαίνετε και στην εικόνα 25. Σελίδα 26

28 Εικόνα 25. Η εικόνα lenna.c Σελίδα 27

29 ΠΗΓΕΣ - ΒΙΒΛΙΟΓΡΑΦΙΑ [1] : ftp://ftp.altera.com/up/pub/altera_material/12.1/boards/de2-115/de2_115_user_manual.pdf [2] : [3] : [4] : Σχεδίαση Ψηφιακών Συστημάτων με τη Γλώσσα VHDL, Stephen Brown, Zvonko Vranesic. Σελίδα 28

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Τμήμα Πληροφορικής & Επικοινωνιών Τομέας Υπολογιστικών Τεχνικών & Συστημάτων Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Ιωάννης Γεωργουδάκης - Πάρις Μαστοροκώστας Σεπτέμβριος 2011 ΠΕΡΙΕΧΟΜΕΝΑ

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ Σκοπός της δεύτερης άσκησης είναι αφενός η επανάληψη απαραίτητων γνώσεων από την ύλη του προηγούμενου εξαμήνου και αφετέρου η άμεση εισαγωγή στην υλοποίηση

Διαβάστε περισσότερα

Δημιουργία μιας εφαρμογής Java με το NetBeans

Δημιουργία μιας εφαρμογής Java με το NetBeans Δημιουργία μιας εφαρμογής Java με το NetBeans Για να δημιουργήσετε μια εφαρμογή Java πρέπει να ακολουθήσετε τα εξής βήματα : Αρχικά πρέπει να δημιουργηθεί ένα project το οποίο θα περιέχει όλα τα αρχεία

Διαβάστε περισσότερα

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο 2011-2012 Διδάσκων: Γιώργος Ζάγγουλος Βοήθημα για το Πρόγραμμα Modelsim-Altera και την χρησιμοποίηση του μέσα από το Quartus για εκτέλεση

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Η γλώσσα περιγραφής υλικού (harware description language) VHDL είναι μια γλώσσα με την οποία μπορεί

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 2 (2 εβδοµάδες) Εβδοµάδα 27/11 έως 01/12 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

Εισαγωγή στη σχεδιαστική ροή της Xilinx

Εισαγωγή στη σχεδιαστική ροή της Xilinx Πανεπιστήμιο Κρήτης, Τμήμα Επιστήμης Υπολογιστών HY220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2014-2015 Εισαγωγή στη σχεδιαστική ροή της Xilinx ISE Design Suite 14.7 Στόχοι.. 1. Δημιουργία project

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ DVR KTEC

ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ DVR KTEC ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ DVR KTEC 1) Πατάμε δεξί κλικ Μενού 2) Όνομα Χρήστη βάζουμε «admin» 3) Κωδικός Πρόσβασης το αφήνουμε «κενό» 4) Πατάμε OK και μπαίνουμε στο Μενού Είσοδος στο μενού Στην πρώτη εκκίνηση μετά

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ 130 : Ψηφιακή σχεδίαση Βόλος 2015 1 Εισαγωγή Το Multisim είναι ένα ολοκληρωμένο περιβάλλον προσομοίωσης της συμπεριφοράς

Διαβάστε περισσότερα

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο εργαστήριο Υλικού Εβδοµάδα: 2 1 Στόχοι Εργαστηρίου Μετην ολοκλήρωση αυτού του εργαστηρίου, θα πρέπει να γνωρίζετε: 1. Τη διαδικασία που ακολουθείται για

Διαβάστε περισσότερα

Εισαγωγή στο Εργαστήριο Υλικού

Εισαγωγή στο Εργαστήριο Υλικού ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στο Εργαστήριο Υλικού Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

Μάθημα 8: Επικοινωνία Συσκευών με τον Επεξεργαστή

Μάθημα 8: Επικοινωνία Συσκευών με τον Επεξεργαστή Μάθημα 8: Επικοινωνία Συσκευών με τον Επεξεργαστή 8.1 Τακτική σάρωση (Polling) Ας υποθέσουμε ότι έχουμε ένα πληκτρολόγιο συνδεδεμένο σε ένα υπολογιστικό σύστημα. Το πληκτρολόγιο είναι μια μονάδα εισόδου.

Διαβάστε περισσότερα

Μάθημα 3.8 Τεχνικές μεταφοράς δεδομένων Λειτουργία τακτικής σάρωσης (Polling) Λειτουργία Διακοπών DMA (Direct Memory Access)

Μάθημα 3.8 Τεχνικές μεταφοράς δεδομένων Λειτουργία τακτικής σάρωσης (Polling) Λειτουργία Διακοπών DMA (Direct Memory Access) Μάθημα 3.8 Τεχνικές μεταφοράς δεδομένων Λειτουργία τακτικής σάρωσης (Polling) Λειτουργία Διακοπών DMA (Direct Memory Access) Μελετώντας το μάθημα θα μπορείς να ξέρεις τη λειτουργία του Polling να ξέρεις

Διαβάστε περισσότερα

1. Άνοιγμα Και Κλείσιμο Της Εφαρμογής Φυλλομετρητή Ιστού (Internet Explorer)

1. Άνοιγμα Και Κλείσιμο Της Εφαρμογής Φυλλομετρητή Ιστού (Internet Explorer) ΔΙΑΔΙΚΤΥΟ (INTERNET) ΣΤΟΧΟΙ: 1. Άνοιγμα Και Κλείσιμο Μιας Εφαρμογής Φυλλομετρητή Ιστού (Internet Explorer) 2. Παρουσίαση Μιας Ιστοσελίδας 3. Διακοπή Και Ανανέωση Μιας Ιστοσελίδας (Stop and Refresh) 4.

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

Οδηγός Εγκατάστασης

Οδηγός Εγκατάστασης www.athenaschool.net Οδηγός Εγκατάστασης Αθηνά 2012 Μάρτιος 2013 Copyright Πόλυς Γεωργίου, 2013 Με επιφύλαξη παντός δικαιώματος. All rights reserved. Απαγορεύεται η αντιγραφή ή διανομή του παρόντος εγχειριδίου,

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 1 (2 εβδοµάδες) Εβδοµάδα 6/11 έως 10/11 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

Οδηγίες για την Διαδικασία αποθήκευσης στοιχείων ελέγχου πινάκων για επίλυση θέματος Οριοθέτησης.

Οδηγίες για την Διαδικασία αποθήκευσης στοιχείων ελέγχου πινάκων για επίλυση θέματος Οριοθέτησης. Οδηγίες για την Διαδικασία αποθήκευσης στοιχείων ελέγχου πινάκων για επίλυση θέματος Οριοθέτησης. 1. SMART BOARD SERIAL NUMBER: Ο σειριακός αριθμός του Διαδραστικού πίνακα βρίσκεται στην δεξιά πλαϊνή μεριά

Διαβάστε περισσότερα

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ http://h2056wwwhp.com/portal/site/hpsc/template.page/public/psi... 1 of 5 1/7/2014 2:09 μμ Για το σπίτι Για την επιχείρηση Υποστήριξη Είσοδος Εγγραφή Βοήθεια ιστότοπου HP Color LaserJet 3600 Printer series

Διαβάστε περισσότερα

Συνοπτικός Οδηγός Χρήσης του MySQL Workbench

Συνοπτικός Οδηγός Χρήσης του MySQL Workbench Συνοπτικός Οδηγός Χρήσης του MySQL Workbench To ΜySQL Workbench είναι μία εφαρμογή, με γραφικό περιβάλλον, στην οποία μπορούμε να συντάξουμε και να εκτελέσουμε εντολές SQL. To MySQL Workbench απαιτεί να

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

Υπηρεσία διαμοιρασμού αρχείων

Υπηρεσία διαμοιρασμού αρχείων 7 Ιουνίου 2013 Υπηρεσία διαμοιρασμού αρχείων Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Ομάδα Τεχνικής Υποστήριξης v0.4 Πίνακας περιεχομένων Γενικά... 2 Διαθεσιμότητα... 2 Πρόσβαση... 2 Φυλλομετρητή ιστού...

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα

Διαβάστε περισσότερα

SMPcache. Ένα εργαλείο για προσομοίωση-οπτικοποίηση κρυφής μνήμης (Cache)

SMPcache. Ένα εργαλείο για προσομοίωση-οπτικοποίηση κρυφής μνήμης (Cache) SMPcache Ένα εργαλείο για προσομοίωση-οπτικοποίηση κρυφής μνήμης (Cache) 1. Βασικές ρυθμίσεις του συστήματος: δημιουργία μια δικής μας σύνθεσης συστήματος. Το SMPcache είναι ένα εργαλείο με το οποίο μπορούμε

Διαβάστε περισσότερα

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC 1 Απαραίτητα εξαρτήματα Για την πραγματοποίηση της άσκησης είναι απαραίτητα τα εξής

Διαβάστε περισσότερα

Οδηγίες για την εγκατάσταση του πακέτου Cygwin

Οδηγίες για την εγκατάσταση του πακέτου Cygwin Οδηγίες για την εγκατάσταση του πακέτου Cygwin Ακολουθήστε τις οδηγίες που περιγράφονται σε αυτό το file μόνο αν έχετε κάποιο laptop ή desktop PC που τρέχουν κάποιο version των Microsoft Windows. 1) Copy

Διαβάστε περισσότερα

Αντιγραφή με χρήση της γυάλινης επιφάνειας σάρωσης

Αντιγραφή με χρήση της γυάλινης επιφάνειας σάρωσης Γρήγορη αναφορά Αντιγραφή Δημιουργία αντιγράφων Γρήγορη δημιουργία αντιγράφου 3 Στον πίνακα ελέγχου του εκτυπωτή πατήστε το κουμπί αντίγραφο 4 Εάν τοποθετήσατε το έγγραφο στη γυάλινη επιφάνεια σάρωσης

Διαβάστε περισσότερα

Field Service Management ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ

Field Service Management ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ Field Service Management ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ 1 ΠΕΡΙΕΧΟΜΕΝΑ 1. ΑΝΑΛΥΣΗ ΜΕΝΟΥ ΕΦΑΡΜΟΓΗΣ... 4 2. ΕΠΕΞΗΓΗΣΗ ΚΕΝΤΡΙΚΟΥ ΜΕΝΟΥ ΚΑΡΤΕΛΑΣ... 5 3. ΔΗΜΙΟΥΡΓΙΑ ΠΕΛΑΤΗ... 6 4. ΑΝΑΖΗΤΗΣΗ ΠΕΛΑΤΗ... 6 5. ΕΠΕΞΕΡΓΑΣΙΑ/ΔΙΑΓΡΑΦΗ

Διαβάστε περισσότερα

Δημιουργία και επεξεργασία διανυσματικών επιπέδων στο QGIS

Δημιουργία και επεξεργασία διανυσματικών επιπέδων στο QGIS Δημιουργία και επεξεργασία διανυσματικών επιπέδων στο QGIS Δημιουργία επιπέδου σχεδίασης 1. Από το Menu Layer Create Layer New Shapefile Layer δημιουργούμε νέο επίπεδο. Στο παράθυρο που ανοίγει (Εικ. 1)

Διαβάστε περισσότερα

Εγκατάσταση του Arduino IDE

Εγκατάσταση του Arduino IDE ΑΣΠΑΙΤΕ Συλλογή και Επεξεργασία Δεδομένων Εργαστήριο ΠΕΡΙΕΧΟΜΕΝΑ: Πώς να κατεβάσετε και να εγκαταστήσετε το Ολοκληρωμένο Περιβάλλον Ανάπτυξης (IDE), για το προγραμματισμό του Arduino. Χρησιμοποιώντας το

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού

ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού Α.Τ.Ε.Ι. ΘΕΣΣΑΛΟΝΙΚΗΣ ΤΜΗΜΑ ΑΥΤΟΜΑΤΙΣΜΟΥ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού Θεσσαλονίκη, Ιανουάριος 2007 Η Άσκηση 8 του εργαστηρίου

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

Οδηγός Διαχείρισης Ακαδημαϊκής Ταυτότητας

Οδηγός Διαχείρισης Ακαδημαϊκής Ταυτότητας ΗΛΕΚΤΡΟΝΙΚΗ ΥΠΗΡΕΣΙΑ ΑΠΟΚΤΗΣΗΣ ΑΚΑΔΗΜΑΪΚΗΣ ΤΑΥΤΟΤΗΤΑΣ Οδηγός Διαχείρισης Ακαδημαϊκής Ταυτότητας Λειτουργικό Σύστημα: Windows ΠΕΡΙΕΧΟΜΕΝΑ 1. Εγκατάσταση Λογισμικού (Classic Client)... 4 2. Drivers Αναγνώστη/Εγγραφέα...

Διαβάστε περισσότερα

Με λίγα λόγια, το TCP/IP καθορίζει τον τρόπο που πακετάρονται και μεταφέρονται τα δεδομένα της σύνδεσής μας.

Με λίγα λόγια, το TCP/IP καθορίζει τον τρόπο που πακετάρονται και μεταφέρονται τα δεδομένα της σύνδεσής μας. Γρήγορο Ίντερνετ με Κατάλληλες Ρυθμίσεις TCP/IP Η ταχύτητά μας στο ίντερνετ εξαρτάται από πολλούς παράγοντες, όπου τον κεντρικό ρόλο παίζει η σύνδεσή μας. Πολλές φορές, όμως, η σύνδεσή μας μπορεί να περιορίζεται

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 1 ΣΤΟΧΟΙ: 1. Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου (Microsoft Word) 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου 3. Δημιουργία Νέου Εγγράφου 4. Δημιουργία Εγγράφου Βασισμένο Σε Πρότυπο 5. Κλείσιμο

Διαβάστε περισσότερα

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Τμήμα Πληροφορικής & Τηλ/νιών Τομέας Προγ/σμού & Τεχνολογίας Λογισμικού Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Δρ. Νικόλαος Θ. Λιόλιος Καθηγητής Φεβρουάριος 2012 1. Εισαγωγή Το Visual

Διαβάστε περισσότερα

Οδηγίες για προσθήκη Web Frames Tools to the Quick Access Bar σε μεταγενέστερη έκδοση του Word

Οδηγίες για προσθήκη Web Frames Tools to the Quick Access Bar σε μεταγενέστερη έκδοση του Word Οδηγίες για προσθήκη Web Frames Tools to the Quick Access Bar σε μεταγενέστερη έκδοση του Word Επειδή οι μεταγενέστερες εκδόσεις του Word δεν περιλαμβάνουν στο μενού τη δυνατότητα δημιουργίας πολλαπλών

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΔΕΔΟΜΕΝΩΝ ΑΠΟ EXCEL ΣΤΟ GRETL

ΕΙΣΑΓΩΓΗ ΔΕΔΟΜΕΝΩΝ ΑΠΟ EXCEL ΣΤΟ GRETL ΕΙΣΑΓΩΓΗ ΔΕΔΟΜΕΝΩΝ ΑΠΟ EXCEL ΣΤΟ GRETL Με το οικονομετρικό λογισμικό GRETL μπορούμε να κάνουμε Ανάλυση Χρονοσειρών σε δεδομένα (χρονοσειρές) με διάφορες μεθόδους και μοντέλα. Επειδή είναι εύκολο να βρούμε

Διαβάστε περισσότερα

IDIKA eprescription SignMed Authentication & Digital Signature Client για Windows

IDIKA eprescription SignMed Authentication & Digital Signature Client για Windows IDIKA eprescription SignMed Authentication & Digital Signature Client για Windows Λήψη αρχείου εγκατάστασης, Οδηγίες Εγκατάστασης, Αλλαγή ΡΙΝ, Ειδικές Ρυθμίσεις για Firefox Λήψη αρχείου εγκατάστασης Για

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ & ΧΡΗΣΗΣ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΝΑΠΤΥΞΗΣ BloodShed Dev-C++

ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ & ΧΡΗΣΗΣ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΝΑΠΤΥΞΗΣ BloodShed Dev-C++ ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ & ΧΡΗΣΗΣ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΝΑΠΤΥΞΗΣ BloodShed Dev-C++ Α. ΠΡΙΝ ΤΗΝ ΕΓΚΑΤΑΣΤΑΣΗ Το πρόγραμμα BloodShed Dev-C++ είναι ένα ολοκληρωμένο περιβάλλον ανάπτυξης* κώδικα για γλώσσες

Διαβάστε περισσότερα

Διαχείριση Έργων Πληροφορικής Εργαστήριο

Διαχείριση Έργων Πληροφορικής Εργαστήριο Διαχείριση Έργων Πληροφορικής Εργαστήριο «Εισαγωγή στο MS Project- Διάγραμμα Gantt» Μ.Τσικνάκης, Ρ.Χατζάκη Ε. Μανιαδή, Ά. Μαριδάκη 1. Εισαγωγή στο Microsoft Project To λογισμικό διαχείρισης έργων MS Project

Διαβάστε περισσότερα

SIMATIC MANAGER SIMATIC MANAGER

SIMATIC MANAGER SIMATIC MANAGER 1 Προγραμματισμός του PLC. 1. Γενικά Μια προσεκτική ματιά σε μια εγκατάσταση που θέλουμε να αυτοματοποιήσουμε, μας δείχνει ότι αυτή αποτελείται από επιμέρους τμήματα τα οποία είναι συνδεδεμένα μεταξύ τους

Διαβάστε περισσότερα

TeleCost Hotel για Panasonic TDA

TeleCost Hotel για Panasonic TDA TeleCost Hotel για Panasonic TDA 1. Οδηγίες Εγκατάστασης του Λογισμικού του Συστήματος Telecost Hotel Γενικά Για την εγκατάσταση του Λογισμικού του Συστήματος TeleCost HL θα πρέπει να ακολουθηθούν συνοπτικά

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 13 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ 3 Μέρος Α (Ι-V, προηγούμενο εργαστήριο λογισμικού) Βεβαιωθείτε

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΚΗ Ι Εργαστήριο 1 MATLAB ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1. Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave

ΠΛΗΡΟΦΟΡΙΚΗ Ι Εργαστήριο 1 MATLAB ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1. Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1 Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave Περιεχόμενο εργαστηρίου: - Το περιβάλλον ανάπτυξης προγραμμάτων Octave - Διαδικασία ανάπτυξης προγραμμάτων MATLAB - Απλά

Διαβάστε περισσότερα

Οδηγίες Χρήσης της MySQL

Οδηγίες Χρήσης της MySQL ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΙΡΑΙΩΣ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΣΗΜΕΙΩΣΕΙΣ ΣΕ ΒΑΣΕΙΣ ΔΕΔΟΜΕΝΩΝ Οδηγίες Χρήσης της MySQL Διδάσκων: Γιάννης Θεοδωρίδης Συντάκτης Κειμένου: Βαγγέλης Κατσικάρος Νοέμβριος 2007 1 Περιεχόμενα Εισαγωγή...2

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ Χ. Βέργος Καθηγητής

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ Χ. Βέργος Καθηγητής ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ 2013 2014 Χ. Βέργος Καθηγητής ΕΡΓΑΣΙΑ ΕΞΑΜΗΝΟΥ Σκοπός της φετινής εργασίας εξαμήνου είναι η σχεδίαση ενός Συστήματος Απεικόνισης Χαρακτήρων

Διαβάστε περισσότερα

Συστήματα Μικροεπεξεργαστών

Συστήματα Μικροεπεξεργαστών Εργαστήριο 1 ο Εισαγωγή στον AVR Περίγραμμα Εργαστηριακής Άσκησης Εισαγωγή... 2 Κατηγορίες μικροελεγκτών AVR... 2 Εξοικείωση με το περιβάλλον AVR Studio 4... 3 Βήμα 1ο: Δημιουργία νέου έργου (project)...

Διαβάστε περισσότερα

Εγχειρίδιο Ζωντανών Μεταδόσεων Υπηρεσιών Βίντεο. Πανεπιστημίου Κρήτης. Εγχειρίδιο τεχνικού μετάδοσης

Εγχειρίδιο Ζωντανών Μεταδόσεων Υπηρεσιών Βίντεο. Πανεπιστημίου Κρήτης. Εγχειρίδιο τεχνικού μετάδοσης Εγχειρίδιο Ζωντανών Μεταδόσεων Υπηρεσιών Βίντεο Πανεπιστημίου Κρήτης Εγχειρίδιο τεχνικού μετάδοσης Περιεχόμενα 1. ΖΩΝΤΑΝΕΣ ΜΕΤΑΔΟΣΕΙΣ... 3 1.1. ΕΙΣΑΓΩΓΗ... 3 1.2. ΠΡΟΕΤΟΙΜΑΣΙΑ ΓΙΑ ΤΗΝ ΜΕΤΑΔΟΣΗ, ΑΙΤΗΜΑ...

Διαβάστε περισσότερα

Διαφορές single-processor αρχιτεκτονικών και SoCs

Διαφορές single-processor αρχιτεκτονικών και SoCs 13.1 Τα συστήματα και η επικοινωνία μεταξύ τους γίνονται όλο και περισσότερο πολύπλοκα. Δεν μπορούν να περιγραφούνε επαρκώς στο επίπεδο RTL καθώς αυτή η διαδικασία γίνεται πλέον αρκετά χρονοβόρα. Για αυτό

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 9 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων. 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων. 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 1 ΣΤΟΧΟΙ: 1. Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων (Microsoft Excel) 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων 3. Δημιουργία Νέου Υπολογιστικού Φύλλου 4. Δημιουργία Υπολογιστικού Φύλλου

Διαβάστε περισσότερα

Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες

Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες ηλεκτρονικές διατάξεις(fpga) Η χρήση της πλατφόρμας Altera DE-nano και του εργαλείου σχεδίασης Quartus-II

Διαβάστε περισσότερα

1.Puzzle. ΕΠΙΜΕΛΕΙΑ: ΓΕΩΡΓΙΑ ΚΛΩΣΤΡΑΚΗ Σελίδα 1

1.Puzzle. ΕΠΙΜΕΛΕΙΑ: ΓΕΩΡΓΙΑ ΚΛΩΣΤΡΑΚΗ Σελίδα 1 1.Puzzle Μόλις ανοίξω το πρόγραμμα επιλέγω την εντολή Browse. Στη συνέχεια αναζητώ την εικόνα που έχω αποθηκεύσει σε ένα φάκελο (στην επιφάνεια εργασίας ή στα έγγραφά μου ή στο σκληρό μου δίσκο). Αφού

Διαβάστε περισσότερα

1. Τρόποι πρόσβασης στο Email σας

1. Τρόποι πρόσβασης στο Email σας Στο παρακάτω παράδειγμα θα χρησιμοποιήσουμε το τυχαίο Email Account: test@uowm.gr με κωδικό πρόσβασης (Password) το: test123 1. Τρόποι πρόσβασης στο Email σας Α. Μέσω Web Mail Μπορείτε από οποιονδήποτε

Διαβάστε περισσότερα

ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ

ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ ΔΙΑΧΥΤΑ ΚΑΙ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ Πλατφόρμες ενσωματωμένων συστημάτων Διδάσκων: Παναγιώτης Καρκαζής Περίγραμμα - Δίαυλοι επικοινωνίας - Μνήμες -Συσκευές Ι/Ο Timers Counters keyboards Leds 7 segment display

Διαβάστε περισσότερα

Οδηγός Εγκατάστασης και Χρήσης του Arebas Easy

Οδηγός Εγκατάστασης και Χρήσης του Arebas Easy Σ ε λ ί δ α 1 Οδηγός Εγκατάστασης και Χρήσης του Arebas Easy Περιεχόμενα 1. Download Arebas Easy... 2 2. Εγκατάσταση Arebas Easy... 3 3. Εγγραφή στον Arebas Server... 7 4. Παραμετροποίηση Arebas Easy...

Διαβάστε περισσότερα

Οδηγίες EQL Desktop (rev.1.0.23) ΣΥΝ ΕΣΗ S-710 ΜΕ ΚΑΛΩ ΙΟ USB ΠΡΟΚΑΤΑΡΚΤΙΚΕΣ ΕΡΓΑΣΙΕΣ

Οδηγίες EQL Desktop (rev.1.0.23) ΣΥΝ ΕΣΗ S-710 ΜΕ ΚΑΛΩ ΙΟ USB ΠΡΟΚΑΤΑΡΚΤΙΚΕΣ ΕΡΓΑΣΙΕΣ Οδηγίες EQL Desktop (rev.1.0.23) Πρόγραμμα για τον Προγραμματισμό των Μηχανών D.P.S. S-800, Open Cash και S-710 μέσω Ηλεκτρονικού Υπολογιστή ΣΥΝ ΕΣΗ S-710 ΜΕ ΚΑΛΩ ΙΟ USB Εγκατάσταση ΠΡΟΚΑΤΑΡΚΤΙΚΕΣ ΕΡΓΑΣΙΕΣ

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ ΣΤΟ ΑΣΥΡΜΑΤΟ ΔΙΚΤΥΟ eduroam

ΟΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ ΣΤΟ ΑΣΥΡΜΑΤΟ ΔΙΚΤΥΟ eduroam ΟΙΚΟΝΟΜΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΚΕΝΤΡΟ ΔΙΑΧΕΙΡΙΣΗΣ ΔΙΚΤΥΩΝ Πατησίων 76, ΑΘΗΝΑ 104 34 - Tηλ.. 210 8203900 - Fax. 210 8203909 e-mail: noc@aueb.gr ΟΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ ΣΤΟ ΑΣΥΡΜΑΤΟ ΔΙΚΤΥΟ eduroam To eduroam είναι

Διαβάστε περισσότερα

4.1 Άνοιγμα υπάρχοντος βιβλίου εργασίας

4.1 Άνοιγμα υπάρχοντος βιβλίου εργασίας 4.1 Άνοιγμα υπάρχοντος βιβλίου εργασίας 4.1.1 Άνοιγμα υπάρχοντος βιβλίου εργασίας από βάση δεδομένων Όταν εκκινήσουμε τον Discoverer εμφανίζεται στην οθόνη μας το παράθυρο διαλόγου του βοηθητικού προγράμματος

Διαβάστε περισσότερα

Αυτόματος κλιμακοστασίου με τη χρήση PLC. 1 Θεωρητικό μέρος

Αυτόματος κλιμακοστασίου με τη χρήση PLC. 1 Θεωρητικό μέρος Αυτόματος κλιμακοστασίου με τη χρήση PLC Σε αυτή την άσκηση θα δούμε τη λειτουργία αυτοματοποίησης φωτισμού, ενός κλιμακοστασίου τεσσάρων επιπέδων οικοδομής. Θα δούμε τι περιλαμβάνει και τα πλεονεκτήματα

Διαβάστε περισσότερα

Προηγμένοι Μικροεπεξεργαστές. Εργαστήριο 4 - Editor

Προηγμένοι Μικροεπεξεργαστές. Εργαστήριο 4 - Editor Προηγμένοι Μικροεπεξεργαστές Εργαστήριο 4 - Editor Περιγραφή Υλοποίηση ενός υποτυπώδους editor που θα: Διαβάζει ένα προκαθορισμένο αρχείο Θα το απεικονίζει στην οθόνη Θα κάνει highlight με έναν ελεγχόμενο

Διαβάστε περισσότερα

MIPS Interactive Learning Environment. MILE Simulator. Version 1.0. User's Manual

MIPS Interactive Learning Environment. MILE Simulator. Version 1.0. User's Manual MILE Simulator Version 1.0 User's Manual Νοέμβριος, 2011 Περιεχόμενα 1. Εισαγωγή στον προσομοιωτή...2 1.1 Εγκατάσταση...2 1.2 Βοήθεια Διευκρινήσεις...2 2. Ξεκινώντας με τον προσομοιωτή...3 2.1 Το memory

Διαβάστε περισσότερα

Σηµαντικές παρατηρήσεις σχετικά µε το backround:

Σηµαντικές παρατηρήσεις σχετικά µε το backround: ΠΕΡΙΓΡΑΦΗ ΛΕΙΤΟΥΡΓΙΑΣ SOFTWARE SAE10 Το software της αναγγελίας ορόφων είναι απαραίτητο για τη δηµιουργία των USB flash που θα χρησιµοποιηθούν στην πλακέτα SAE10. Προσφέρει ταχύτητα, ευελιξία και πολλές

Διαβάστε περισσότερα

Ελληνική Έκδ ση. Εισαγωγή. Περιεχόμενα της συσκευασίας. Τεχνικές προδιαγραφές BT100. Πρόσθετες τεχνικές προδιαγραφές ΒΤ100 S W E E X.

Ελληνική Έκδ ση. Εισαγωγή. Περιεχόμενα της συσκευασίας. Τεχνικές προδιαγραφές BT100. Πρόσθετες τεχνικές προδιαγραφές ΒΤ100 S W E E X. BT100 - Sweex Bluetooth Class II Adapter USB BT110 - Sweex Bluetooth Class I Adapter USB Εισαγωγή Αρχικά, σας ευχαριστούμε που αγοράσατε το Sweex Bluetooth Adapter. Με αυτό τον προσαρμογέα μπορείτε να

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2006-2007 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

Εγχειρίδιο Ζωντανών Μεταδόσεων Εξελιγμένων Υπηρεσιών Βίντεο Πανελληνίου Σχολικού Δικτύου Εγχειρίδιο τεχνικού μετάδοσης

Εγχειρίδιο Ζωντανών Μεταδόσεων Εξελιγμένων Υπηρεσιών Βίντεο Πανελληνίου Σχολικού Δικτύου Εγχειρίδιο τεχνικού μετάδοσης Πανεπιστήμιο Κρήτης Εγχειρίδιο Ζωντανών Μεταδόσεων Εξελιγμένων Υπηρεσιών Βίντεο Πανελληνίου Σχολικού Δικτύου Εγχειρίδιο τεχνικού μετάδοσης Πανεπιστήμιο Κρήτης Περιεχόμενα 1. ΖΩΝΤΑΝΕΣ ΜΕΤΑΔΟΣΕΙΣ... 3 1.1.

Διαβάστε περισσότερα

Πως θα κατασκευάσω το πρώτο πρόγραμμα;

Πως θα κατασκευάσω το πρώτο πρόγραμμα; Εργαστήριο Δομημένος Προγραμματισμός (C#) Τμήμα Μηχανολογίας Νικόλαος Ζ. Ζάχαρης Καθηγητής Εφαρμογών Σκοπός Να γίνει εξοικείωση το μαθητών με τον ΗΥ και το λειτουργικό σύστημα. - Επίδειξη του My Computer

Διαβάστε περισσότερα

Δομές Δεδομένων. Σημειώσεις από το εργαστήριο για τον χειρισμό του προγράμματος Eclipse. 5ο εξάμηνο. v1.0

Δομές Δεδομένων. Σημειώσεις από το εργαστήριο για τον χειρισμό του προγράμματος Eclipse. 5ο εξάμηνο. v1.0 Δομές Δεδομένων 5ο εξάμηνο Σημειώσεις από το εργαστήριο για τον χειρισμό του προγράμματος Eclipse v1.0 Τις σημειώσεις κράτησαν και διαμόρφωσαν σε word οι: Κονδύλη Γαλήνη, ΑΜ 5576 Μάλλιου Χριστίνα, ΑΜ 5413

Διαβάστε περισσότερα

2.2.1. Ανοίξτε την εικόνα Hel_MDSGEO και δημιουργήστε δύο έγχρωμα σύνθετα ένα σε πραγματικό χρώμα (True color) και ένα σε ψευδοέχρωμο υπέρυθρο (CIR)

2.2.1. Ανοίξτε την εικόνα Hel_MDSGEO και δημιουργήστε δύο έγχρωμα σύνθετα ένα σε πραγματικό χρώμα (True color) και ένα σε ψευδοέχρωμο υπέρυθρο (CIR) ΕΡΓΑΣΤΗΡΙΟ 2 ο : Φασματικές υπογραφές 2.1. Επανάληψη από τα προηγούμενα 2.2.1. Ανοίξτε την εικόνα Hel_MDSGEO και δημιουργήστε δύο έγχρωμα σύνθετα ένα σε πραγματικό χρώμα (True color) και ένα σε ψευδοέχρωμο

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης V3.0

Εγχειρίδιο Χρήσης V3.0 ΕΦΑΡΜΟΓΗ ΔΙΑΧΕΙΡΙΣΗΣ ΠΕΡΙΕΧΟΜΕΝΟΥ Εγχειρίδιο Χρήσης V3.0 Πίνακας Περιεχομένων: 1. Σύνδεση με την συσκευή 3 1.1 Σύνδεση μέσω Wi-Fi Direct.... 3 1.2 Ενσύρματη σύνδεση (LAN) 3 1.3 Ασύρματη σύνδεση (WiFi).

Διαβάστε περισσότερα

Βασικές Έννοιες της Πληροφορικής

Βασικές Έννοιες της Πληροφορικής Βασικές Έννοιες της Πληροφορικής Ηλεκτρονικός Υπολογιστής Αυτόματη ηλεκτρονική μηχανή που δέχεται, φυλάσσει, επαναφέρει, επεξεργάζεται και παρουσιάζει πληροφορίες σύμφωνα με προκαθορισμένες εντολές. Δεδομένα

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

Οδηγίες Χρήσης EAP Controller Software

Οδηγίες Χρήσης EAP Controller Software Οδηγίες Χρήσης EAP Controller Software Designed by TP-Link Hellas Ltd. Professional Business Unit Επιμέλεια: Βαλάντης Οικονομόπουλος 1 Περιεχόμενα 1.1 Προσδιορισμός της Τοπολογίας του Δικτύου...2 1.2 Αρχική

Διαβάστε περισσότερα

Οδηγίες. Εγκατάσταση Προσωπικού Πιστοποιητικού

Οδηγίες. Εγκατάσταση Προσωπικού Πιστοποιητικού Οδηγίες για Εγκατάσταση Προσωπικού Πιστοποιητικού Περιεχόμενα Έκδοση πιστοποιητικού... σελ. 2 Δημιουργία αντιγράφου ασφαλείας. σελ. 5 Εγκατάσταση στο λογαριασμό αλληλογραφίας..σελ. 10 Έκδοση πιστοποιητικού

Διαβάστε περισσότερα

Οδηγίες για εισαγωγή Photo Gallery σε Ιστοσελίδα με το SharePoint Designer 2007

Οδηγίες για εισαγωγή Photo Gallery σε Ιστοσελίδα με το SharePoint Designer 2007 Οδηγίες για εισαγωγή Photo Gallery σε Ιστοσελίδα με το SharePoint Designer 2007 Το πρόγραμμα Share Point Designer 2007 παρέχει την δυνατότητα να εισάγουμε Συλλογή φωτογραφιών, οι οποίες προβάλλονται με

Διαβάστε περισσότερα

Περιεχόµενα 1. Εγκατάσταση 2. Εισαγωγή 3. Σύνδεση 4. Ρυθµίσεις 2.1 Περιοχή εργασιών και πλοήγησης 2.2 Περιοχή απεικόνισης "Λεπτοµέρειες" 2.3 Περιοχή απεικόνισης "Στατιστικά" 4.1 Προφίλ 4.2 ίκτυο 4.2.1

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΓΙΑ ΛΟΓΙΣΜΙΚΟ CISCO JABBER ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΥΠΣ-ΕΔ/76

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΓΙΑ ΛΟΓΙΣΜΙΚΟ CISCO JABBER ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΥΠΣ-ΕΔ/76 ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΓΙΑ ΛΟΓΙΣΜΙΚΟ CISCO JABBER ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΥΠΣ-ΕΔ/76 31/07/2014 ΠΕΡΙΕΧΟΜΕΝΑ ΕΓΚΑΤΑΣΤΑΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ... 3 ΛΕΙΤΟΥΡΓΙΑ

Διαβάστε περισσότερα

Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ Κεφάλαιο 4 Σύνδεση Μικροεπεξεργαστών και Μικροελεγκτών ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ 1. Παρακάτω δίνονται μερικοί από τους ακροδέκτες που συναντάμε στην πλειοψηφία των μικροεπεξεργαστών. Φτιάξτε έναν πίνακα που να

Διαβάστε περισσότερα

Ελληνική Έκδ ση. Εισαγωγή. Περιεχόμενα της συσκευασίας. Τεχνικές προδιαγραφές. PU003 Sweex 1 Port Parallel & 2 Port Serial PCI Card

Ελληνική Έκδ ση. Εισαγωγή. Περιεχόμενα της συσκευασίας. Τεχνικές προδιαγραφές. PU003 Sweex 1 Port Parallel & 2 Port Serial PCI Card PU003 Sweex 1 Port Parallel & 2 Port Serial PCI Card Εισαγωγή Αρχικά, σας ευχαριστούμε που αγοράσατε το προϊόν Sweex 1 Port Parallel & 2 Port Serial PCI Card. Αυτή η κάρτα σας επιτρέπει να προσθέσετε εύκολα

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών

- Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Μάθημα 4.5 Η Μνήμη - Εισαγωγή - Επίπεδα μνήμης - Ολοκληρωμένα κυκλώματα μνήμης - Συσκευασίες μνήμης προσωπικών υπολογιστών Όταν ολοκληρώσεις το μάθημα αυτό θα μπορείς: Να αναφέρεις τα κυριότερα είδη μνήμης

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Μονάδες Μνήμης και Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Μονάδες Μνήμης - Προγραμματιζόμενη Λογική Μια μονάδα μνήμης είναι ένα

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 201 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

PU002 Sweex 2 Port Serial PCI Card

PU002 Sweex 2 Port Serial PCI Card PU002 Sweex 2 Port Serial PCI Card Εισαγωγή Αρχικά, σας ευχαριστούμε που αγοράσατε το προϊόν Sweex 2 Port Serial PCI Card. Αυτή η κάρτα σας επιτρέπει να προσθέσετε εύκολα δυο σειριακές θύρες στον Η/Υ σας.

Διαβάστε περισσότερα

Έλεγχος φωτισμού από το Smartphone ή Tablet σας, μέσω του

Έλεγχος φωτισμού από το Smartphone ή Tablet σας, μέσω του Έλεγχος φωτισμού από το Smartphone ή Tablet σας, μέσω του Η εφαρμογή Daslight Version 4, είναι διαθέσιμη για PC & Mac. Συνιστούμε να έχετε πάντα την τελευταία και πιο ενημερωμένη έκδοση λογισμικού από

Διαβάστε περισσότερα

Πώς να ανοίξω το τερματικό στους υπολογιστές της σχολής

Πώς να ανοίξω το τερματικό στους υπολογιστές της σχολής Περιεχόμενα Χώρος διεξαγωγής του εργαστηρίου Σύνδεση Η/Υ-Γραμμή Εντολών Μετάβαση στο λειτουργικό σύστημα Ubuntu Εκκίνηση Προγράμματος Προτάσεις σχεδίασης κυκλωμάτων Μορφή αποθήκευσης αρχείων Τρόποι μεταφοράς

Διαβάστε περισσότερα

ΜΕΤΑΦΟΡΑ ΑΡΧΕΙΩΝ FTP

ΜΕΤΑΦΟΡΑ ΑΡΧΕΙΩΝ FTP ΜΕΤΑΦΟΡΑ ΑΡΧΕΙΩΝ FTP Το FTP (File Transfer Protocol) είναι το εξειδικευμένο πρωτόκολλο μεταφοράς αρχείων στα σύγχρονα δίκτυα δεδομένων όπως το Διαδίκτυο. Δίνει τη δυνατότητα μεταφοράς αρχείων από τον υπολογιστή

Διαβάστε περισσότερα

Ενότητα 1η. Εισαγωγή στην Πληροφορική

Ενότητα 1η. Εισαγωγή στην Πληροφορική Ενότητα 1η Εισαγωγή στην Πληροφορική 1.1 Τι είναι Πληροφορική Ένας σύντομος ορισμός για το τι είναι πληροφορική είναι ο παρακάτω: όλα εκείνα που χρειάζεται κανείς για να παράγει, να οργανώνει και να διαχειρίζεται

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΟΜΕΑΣ ΔΙΚΤΥΩΝ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΟΜΕΑΣ ΔΙΚΤΥΩΝ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΟΜΕΑΣ ΔΙΚΤΥΩΝ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΟΔΗΓΟΣ ΣΥΝΔΕΣΗΣ ΣΤΗΝ ΥΠΗΡΕΣΙΑ ΑΣΥΡΜΑΤΗΣ ΠΡΟΣΒΑΣΗΣ ucywifi ΤΟΥ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΚΥΠΡΟΥ ΓΙΑ ΧΡΗΣΤΕΣ ΛΕΙΤΟΥΡΓΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Windows 7 ΥΠΣ

Διαβάστε περισσότερα

SmarTECPoS. Πως βάζω νέα είδη; Back Office Βασικό Είδη new Νέο Γενικά Κωδικός (είδους) Περιγραφή 1 Τμήμα Τιμή Αποθήκη Τιμή 1 Εστιατόριο Εκτυπωτής

SmarTECPoS. Πως βάζω νέα είδη; Back Office Βασικό Είδη new Νέο Γενικά Κωδικός (είδους) Περιγραφή 1 Τμήμα Τιμή Αποθήκη Τιμή 1 Εστιατόριο Εκτυπωτής SmarTECPoS. Πως βάζω νέα είδη; Back Office Βασικό Είδη new Νέο Γενικά Κωδικός (είδους) Περιγραφή 1 Τμήμα Τιμή Αποθήκη Τιμή 1 Εστιατόριο Εκτυπωτής (διαλέγω εκτυπωτή) Εμφάνιση Θέση (διαλέγω χρώμα γραμμάτων,

Διαβάστε περισσότερα