ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA"

Transcript

1 ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Η γλώσσα περιγραφής υλικού (harware description language) VHDL είναι μια γλώσσα με την οποία μπορεί να περιγραφεί ένα ψηφιακό σύστημα. Υπάρχουν δύο βασικοί τρόποι περιγραφής του συστήματος, η περιγραφή της συμπεριφοράς του (behavioral modeling) και η περιγραφή της δομής του (structural modeling). Στην πρώτη περίπτωση περιγράφουμε το τι κάνει το σύστημα ενώ στη δεύτερη περιγράφουμε τον τρόπο διασύνδεσης βασικών δομικών μονάδων (π.χ. λογικών πυλών) οι οποίες χρησιμοποιούνται για την υλοποίησή του. Υπάρχει βέβαια και η δυνατότητα μικτής περιγραφής κατά την οποία ένα μέρος του συστήματος περιγράφεται δομικά και ένα άλλο μέρος του σε επίπεδο συμπεριφοράς. Το επόμενο βήμα μετά την ολοκλήρωση της περιγραφής της ψηφιακής λογικής με τη γλώσσα VHDL είναι η διαδικασία της μεταγλώττισης (compilation), η οποία επιτρέπει αφενός την προσομοίωση (simulation) του συστήματος και την επαλήθευση της ορθής λειτουργίας του και αφετέρου την υλοποίηση του στο διαθέσιμο υλικό (hardware). Πρέπει να τονιστεί ότι η περιγραφή του συστήματος με VHDL είναι ανεξάρτητη από το υλικό στο οποίο θα υλοποιηθεί τελικά το σύστημα το οποίο μπορεί να είναι ένα τυπωμένο κύκλωμα, ένα ολοκληρωμένο κύκλωμα ειδικού σκοπού ή μία προγραμματιζόμενη διάταξη πυλών (CPLD ή FPGA). Η συγγραφή του κώδικα VHDL, η μεταγλώττιση, η εξομοίωση και η υλοποίηση ενός ψηφιακού συστήματος γίνεται με τη χρήση υπολογιστή. Στα πλαίσια του εργαστηρίου αυτού θα χρησιμοποιηθεί το ολοκληρωμένο περιβάλλον σχεδιασμού Quartus II της εταιρίας Altera. Είναι σημαντικό να θυμάστε πάντα ότι με την VHDL περιγράφουμε ένα ψηφιακό σύστημα, το οποίο τελικά θα υλοποιηθεί σε κάποιο υλικό (hardware), δηλαδή ότι περιγράφετε ένα ψηφιακό ολοκληρωμένο κύκλωμα που έχει ακροδέκτες εισόδου και εξόδου (ports) και αποτελείται από λογικές πύλες και όχι ότι γράφετε ένα πρόγραμμα σε μία γλώσσα προγραμματισμού το οποίο θα εκτελεστεί από έναν γενικού σκοπού επεξεργαστή σε κάποιο υπολογιστή. Σκοπός της πρώτης άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού FPGAs QUARTUS-II της ALTERA Περιγράφονται με λεπτομέρεια οι διαδικασίες εισαγωγής και μετάφρασης του κώδικα VHDL, και εξομοίωσης ενός ψηφιακού κυκλώματος χρησιμοποιώντας ως ένα απλό παράδειγμα μία λογική συνάρτηση τριών μεταβλητών. Προσοχή: το φυλλάδιο έχει γραφεί για χρήση των εκδόσεων Quartus II 13.0SP1. Σε εκδόσεις παλαιότερες από την 10 υπάρχουν αρκετές αλλαγές στο περιβάλλον εργασίας και τον εξομοιωτή των κυκλωμάτων οι οποίες δεν είναι συμβατές με αυτό το φυλλάδιο. 7

2 ΘΕΩΡΙΑ: «Σχεδίαση Ψηφιακών Συστημάτων με τη γλώσσα VHDL», Brown, Vranesic 2η εκδ.: Βελτιστοποίηση της υλοποίησης λογικών κυκλωμάτων, Κεφ , σελ «Σχεδίαση Ψηφιακών Συστημάτων με τη γλώσσα VHDL», Brown, Vranesic 3η εκδ.: Εισαγωγή στη γλώσσα VHDL, Κεφ. 2.10, σελ ΕΡΓΑΣΤΗΡΙΑΚΟ ΜΕΡΟΣ: 1. Συμπληρώστε τον πίνακα αλήθειας των λογικών συναρτήσεων f και g. Απλοποιήστε τις συναρτήσεις με χρήση πίνακα Karnaugh και σχεδιάστε κύκλωμα υλοποίησής τους με το μικρότερο αριθμό αντιστροφέων και πυλών δύο εισόδων. f ( x1, x 2, x3) x1 x 2 x3 x1 x 2 x1 x 2 x3 x1 x 2 x3 x1 x2 x3 f g g ( x1, x 2, x3) ( x1 x 2) ( x1 x 2) x1 x 2 x3 x1 x 2 x3 2. Εισαγωγή κυκλώματος στο QUARTUS-II Α. Ορισμός Ονόματος του Έργου ( Project ) Για κάθε κύκλωμα εκτός από τα αρχεία, τα οποία συντάσσει ο σχεδιαστής, όπως το αρχείο του κώδικα VHDL και το αρχείο κυματομορφών, το λογισμικό QUARTUS II δημιουργεί αυτόματα αρκετά αρχεία που περιέχουν πληροφορίες τις οποίες χρησιμοποιεί το ίδιο το λογισμικό. Το σύνολο των αρχείων που δημιουργούνται για ένα κύκλωμα ονομάζεται project (έργο). Είναι αναγκαίο πριν ξεκινήσετε τη διαδικασία σχεδίασης να ορίσετε τη θέση του φακέλου, όπου θα αποθηκευτούν τα αρχεία του έργου ακολουθώντας την παρακάτω διαδικασία. ΠΡΟΣΟΧΗ: Η διαδικασία αυτή πρέπει να ακολουθείται επακριβώς σε κάθε άσκηση γιατί αν δεν γίνει σωστά δημιουργούνται προβλήματα σε επόμενα βήματα 1. Επιλέξτε New Project Wizard από το File Menu. 2. Στο παράθυρο που παρουσιάζεται, πρέπει να συμπληρωθεί το directory, όπου θα τοποθετηθεί το νέο project, το όνομα του project και το όνομα της ανώτερης οντότητας ( entity ) του σχηματικού διαγράμματος. 8

3 Στο πρώτο πεδίο γράφετε το όνομα του directory το οποίο πρέπει να είναι D:\users\digitalx\psifiaka\askisizz όπου x=1...8 ανάλογα με το username που σας έχει δωθεί και zz ο αριθμός της άσκησης. Στο δεύτερο πεδίο γράφετε το όνομα του Project. Μπορείτε να διαλέξετε όποιο όνομα θέλετε αλλά καλό θα είναι σε κάθε άσκηση να είναι askisizz, όπου zz ο αριθμός της άσκησης ή κάτι σχετικό με τη λειτουργία του κυκλώματος π.χ. Fulladd για τον full adder, reg8p για τον register 8 ψηφίων. Στο τρίτο πεδίο συμπληρώνεται το όνομα της οντότητας (entity) το οποίο παίρνει αυτόματα το ίδιο όνομα με αυτό του Project. (Μπορείτε αν θέλετε να αλλάξετε αυτό το όνομα αλλά δεν συνιστάται γιατί εύκολα μπορεί να σας δημιουργήσει προβλήματα σε επόμενα βήματα.) ΠΡΟΣΟΧΗ: Μη χρησιμοποιείτε κενά ή/και ελληνικούς χαρακτήρες στα ονόματα των αρχείων του project και του entity. 3. Πατήστε Επόμενο (ΝΕΧΤ). Η σελίδα Add files του New Project Wizard εμφανίζεται. Εδώ, μπορείτε να επιλέξετε τα design files που επιθυμείτε και να τα συμπεριλάβετε στο project. Επειδή, όμως δημιουργήσατε ένα νέο project, δεν υπάρχουν αρχεία να ενσωματώσετε, ακόμη. Σε περίπτωση που υπάρχουν, πατήστε Browse( ) για να επιλέξετε τα αρχεία, και πατώντας το Add να τα προσθέσετε στο Project. 4. Πατήστε NEXT. Η Τρίτη σελίδα του New Project Wizard περιέχει το Family και Device Settings. Εδώ μπορείτε να επιλέξετε την οικογένεια και τον τύπο CPLD ή FPGA που θέλετε να χρησιμοποιήσετε για την υλοποίηση του κυκλώματος. Συμπληρώσετε εδώ Device Familly MAX7000S και Device EPM7128SLC84-7 9

4 5. Πατήστε Finish. Δεν απαιτείται να γίνουν αλλαγές στις τελευταίες δύο σελίδες του New Project Wizard. Β. Εισαγωγή και αποσφαλμάτωση κώδικα VHDL 1. Επιλέξτε από το menu File New ώστε να εμφανιστεί η παρακάτω λίστα επιλογών. 2. Επιλέξτε από την ομάδα Design Files το VHDL File και πατήστε OK. Ένα παράθυρο εισαγωγής κώδικα VHDL θα ανοίξει στο δεξί μέρος της οθόνης σας με το όνομα Vhdl1.vhd. 3. Επιλέξτε από το Menu File --> Save as και επιλέξτε το όνομα του αρχείου όπου θα αποθηκευθεί ο κώδικας VHDL που θα γράψετε. Δώστε το όνομα: D:\users\digitalx\psifiaka\askisi1\askisi1.vhd ΠΡΟΣΟΧΗ: Για να μη δημιουργούνται προβλήματα σε επόμενα βήματα της άσκησης να χρησιμοποιείτε πάντα το ίδιο όνομα για το project και το top-level entity όταν ορίζετε το project, το αρχείο VHDL και το entity μέσα στο αρχείο VHDL. 4. Γράψτε στο αρχείο αυτό τον παρακάτω κώδικα VHDL: library ieee; use ieee.std_logic_1164.all; entity askisi1 is port (x1, x2, x3 : in std_logic; f : out std_logic); end entity; 10

5 architecture ask1_behav of askisi1 is begin f <= (x1 and x2) or ((not x2 ) and x3); end architecture; Σε κάθε αρχείο VHDL, υπάρχουν 3 τουλάχιστον ενότητες, οι οποίες περιγράφονται συνοπτικά παρακάτω. Περισσότερες λεπτομέρειες δίνονται στο θεωρητικό μάθημα καθώς και στο βιβλίο. 1.Το τμήμα που δήλωσης των βιβλιοθηκών (LIBRARY): Library ieee; Use ieee.std_logic_1164.all; Εδώ χρησιμοποιείται μόνο η ieee.std_logic_1164.all η οποία περιλαμβάνει τον ορισμό του τύπου std_logic. Η χρήση βιβλιοθηκών διευκολύνει την περιγραφή των κυκλωμάτων γιατί μας απαλλάσσει από τον κόπο να ξαναγράψουμε κώδικα για βασικά στοιχεία το οποία έχουν ήδη σχεδιαστεί. Εκτός από τις βιβλιοθήκες που παρέχονται από την ίδια τη γλώσσα και την εταιρία προμήθειας του λογισμικού δίνεται η δυνατότητα στο σχεδιαστή να φτιάξει και δικές του βιβλιοθήκες. 2. Το τμήμα δήλωσης της οντότητας (ENTITY) Entity askisi1 is Port (X1, Χ2, Χ3 F End entity; : in std_logic; : out std_logic ); Εδώ περιγράφονται τα σήματα εισόδου και εξόδου που έχει το σύστημα που θα υλοποιηθεί. Με απλά λόγια ο κώδικας που δίνεται παραπάνω αντιστοιχεί στα εξής «Υλοποιούμε ένα ψηφιακό σύστημα το οποίο ονομάζεται askisi1 και το οποίο έχει ως ακροδέκτες (σήματα) εισόδου τα X1, X2 και X3, και ως ακροδέκτη εξόδου το F». Ακόμα δεν έχει ειπωθεί τίποτα για τη λογική σχέση που θα έχουν τα σήματα εξόδου με αυτά της εισόδου. 3. Το τμήμα περιγραφής της λογικής (ARCHITECTURE): Architecture ask1_behav of askisi1 is Begin f<=(x1 and X2) or ((not X2) and X3); End architecture; Εδώ περιγράφεται η αρχιτεκτονική του ψηφιακού συστήματος που θα υλοποιηθεί. δηλαδή η λογική συνάρτηση: f x1 x 2 x 2 x3 Γ. Ο Μεταφραστής ( COMPILER ) Ο μεταφραστής (Compiler) του Quartus II αποτελείται από ένα σετ ανεξάρτητων εργαλείων που ελέγχουν και αναλύουν τον κώδικα VHDL ή το σχηματικό διάγραμμα για λάθη και δημιουργούν μία λογική έκφραση για κάθε λογική συνάρτηση του κυκλώματος, απεικονίζουν το σχέδιο σε μία προγραμματιζόμενη διάταξη (FPGA ή CPLD) της Altera και δημιουργούν αρχεία εξόδων για προσομοίωση λειτουργίας (Simulation), χρονική ανάλυση (timing analysis), και προγραμματισμό των διατάξεων (device programming). Ο μεταφραστής αποτελείται από τα εργαλεία : Analysis and Synthesis Fitter Assembler και Timing Analyzer 11

6 1. Όταν ολοκληρώσετε την εισαγωγή του κώδικα VHDL πρέπει να βεβαιωθείτε ότι δεν υπάρχουν ορθογραφικά ή συντακτικά λάθη στο πρόγραμμα. Επειδή η πλήρης μετάφραση μπορεί να διαρκεί αρκετό χρόνο το QUARTUS-II δίνει τη δυνατότητα να αναλύσετε τον κώδικα και να προσδιορίσετε τυχόν λάθη εκτελώντας μόνο το πρώτο βήμα. Από το menu Processing επιλέξτε Start και ακολούθως Start Analysis and Synthesis. Η διαδικασία αυτή αναλαμβάνει τη μετάφραση του προγράμματός σας (compilation) και μόλις ολοκληρωθεί εμφανίζει στην οθόνη ένα πληροφοριακό μήνυμα για το αν ήταν επιτυχής η διαδικασία ή όχι. Στο κάτω μέρος της οθόνης εμφανίζονται διάφορα μηνύματα τα οποία χωρίζονται σε τρεις κατηγορίες: πληροφοριακά (info) με πράσινα γράμματα, προειδοποιητικά (warning) με μπλε γράμματα, και σφάλματα (errors) με κόκκινα γράμματα. Αν ο κώδικας περιέχει σφάλματα η διαδικασία ανάλυσης σταματάει και αναφέρεται ο συνολικός αριθμός των σφαλμάτων. Για την αποσφαλμάτωση του κώδικα πρέπει να προσδιορίσετε στο κάτω μέρος της οθόνης το πρώτο μήνυμα λάθους και με διπλό κλικ του αριστερού πλήκτρου του ποντικιού τοποθετείται αυτόματα ο δρομέας (cursor) στη γραμμή του κώδικα που παρουσιάζεται το σφάλμα. Διαβάστε προσεκτικά το μήνυμα λάθους και προβείτε στην αναγκαία διόρθωση. Επαναλάβετε τη διαδικασία Start Analysis and Synthesis για να δείτε τα εναπομείναντα λάθη. Πολλές φορές η διόρθωση ενός σφάλματος οδηγεί σε σημαντική ελάττωση του συνολικού αριθμού των σφαλμάτων. Μην επιχειρείτε τη διόρθωση του κώδικα από το τελευταίο σφάλμα γιατί πολλές φορές το μήνυμα είναι παραπλανητικό. Αν μετά τη διόρθωση ενός σφάλματος εμφανιστούν περισσότερα σφάλματα δεν σημαίνει απαραίτητα ότι ήταν λάθος η διόρθωση που κάνατε. Για παράδειγμα μία παρένθεση που δεν είχε κλείσει σωστά η ένα semicolon (;) που έλλειπε μπορεί μπορεί να είχε οδηγήσει αρχικά τον compiler στο να αγνοήσει τμήματα του κώδικα που περιέχουν άλλα σφάλματα. 2. Όταν ολοκληρώσετε τη διόρθωση των σφαλμάτων πρέπει να προχωρήσετε σε πλήρη μετάφραση του κώδικα. Για να ανοίξετε το παράθυρο του μεταφραστή επιλέξτε από το pull-down menu Processing --> Start Compilation 3. Όταν ο Compiler ολοκληρώσει τη μετάφραση, βλέπετε στην οθόνη το Compilation Report στο οποίο δίνονται πληροφοριακά και στατιστικά στοιχεία για τη διαδικασία της μετάφρασης του κυκλώματος. Το παράθυρο αυτό μπορείτε να το ανοίξετε και από το menu Processing --> Compilation Report. Δ. Προσομοίωση Λειτουργίας ( Simulation ) Η ανυπαρξία συντακτικών σφαλμάτων δε συνεπάγεται ότι το κύκλωμα εκτελεί τις λειτουργίες για τις οποίες έχει σχεδιαστεί. Για παράδειγμα αν θέλετε να υλοποιήσετε μία πύλη XOR και έχετε γράψει την εντολή X <= A OR B; ο μεταφραστής δεν θα προσδιορίσει κανένα λάθος αλλά το κύκλωμα αντιστοιχεί σε μία πύλη OR και όχι στην επιθυμητή XOR. Επομένως, το επόμενο βήμα μετά την αποσφαλμάτωση του κώδικα VHDL είναι η προσομοίωση του κυκλώματος για να επιβεβαιωθεί η ορθή λειτουργία του. Στη διαδικασία αυτή δίνονται συγκεκριμένοι παλμοί στα σήματα εισόδου του κυκλώματος και ελέγχονται τα σήματα εξόδου αν είναι τα αναμενόμενα σύμφωνα με τον πίνακα αληθείας του. Η διαδικασία της προσομοίωσης στον υπολογιστή είναι αντίστοιχη με την διαδικασία που θα κάνατε στον εργαστηριακό πάγκο αν είχατε φτιάξει το κύκλωμά σας σε ένα τυπωμένο κύκλωμα (PCB). Όπως θα χρησιμοποιούσατε γεννήτριες τετραγωνικών παλμών για να οδηγήσετε τα σήματα εισόδου και θα βλέπατε την κυματομορφή της εξόδου σε ένα λογικό αναλυτή (logic analyzer) ή έναν παλμογράφο έτσι και στον υπολογιστή πρέπει να δημιουργήσετε ένα αρχείο στο οποίο αποθηκεύετε τα σήματα των εισόδων του κυκλώματος 12

7 και στην οθόνη του υπολογιστή βλέπετε την έξοδο του κυκλώματος ώστε να μπορέσετε να εξακριβώσετε αν αυτό λειτουργεί σύμφωνα με τις προδιαγραφές του. Υπάρχουν δύο τύποι εξομοίωσης λειτουργική (functional) και χρονισμών (timing). Στην περίπτωση της λειτουργικής εξομοίωσης δε λαμβάνονται υπόψη οι καθυστερήσεις των στοιχείων (πυλών και διασυνδέσεων) του κυκλώματος αλλά απλά επαληθεύεται ότι η λογική συνάρτηση που υλοποιεί το κύκλωμα είναι σωστή. Στην εξομοίωση χρονισμών επαληθεύουμε την ορθότητα του κυκλώματος και με βάση τους χρονικούς περιορισμούς που αυτό έχει. Για παράδειγμα έστω ότι έχουμε σχεδιάσει έναν απαριθμητή (counter) και ότι η καθυστέρηση ενός flip-flop είναι 10 nsec. Στη λειτουργική εξομοίωση μπορούμε να χρησιμοποιήσουμε ένα σήμα ρολογιού με περίοδο 5 nsec και το κύκλωμα μας θα κάνει σωστή καταμέτρηση. Αν χρησιμοποιήσουμε όμως το ίδιο σήμα ρολογιού σε εξομοίωση χρονισμού το κύκλωμα δεν θα έχει τη σωστή απόκριση γιατί μέσα στα 5 nsec τα flip-flops δεν προλαβαίνουν να αλλάξουν κατάσταση. Το Quartus δίνει τη δυνατότητα να επιλέξετε το εργαλείο εξομοίωσης με το οποίο θα ελέγξετε τη λειτουργία του κυκλώματός σας. Ο Simulator που θα χρησιμοποιηθεί στα πλαίσια των εργαστηριακών ασκήσεων είναι αυτός που δίνει η ALTERA στο πλαίσιο του προγράμματος υποστήριξης εκπαιδευτικών δραστηριοτήτων (University program). 1. Από το pull-down menu file επιλέξτε New. Στη φόρμα με τους δυνατούς τύπους αρχείων επιλέξτε University Program VWF (Vector Waveform File). Στο αρχείο κυματομορφών αποθηκεύονται οι κυματομορφές των εισόδων, οι οποίες χρησιμοποιούνται στην εξομοίωση. Εμφανίζεται το περιβάλλον του εξομοιωτή και ο Waveform Editor (Επεξεργαστής Κυματομορφών), ο οποίος δίνει τη δυνατότητα να εισάγετε τους κόμβους (Nodes) του κυκλώματος και τις κυματομορφές εισόδου ώστε να μπορέσει να πραγματοποιηθεί η εξομοίωση. 2. Κάντε διπλό αριστερό κλικ στον κενό χώρο κάτω από το Name και στο παράθυρο που εμφανίζεται επιλέξτε Node Finder. 13

8 3. Στο Node Finder επιλέξτε το πλήκτρο List στο πάνω δεξιά τμήμα του παραθύρου για την εμφάνιση των ονομάτων των κόμβων του τρέχοντος έργου στο ορθογώνιο με το όνομα Nodes Found. ΠΡΟΣΟΧΗ: Στο πεδίο Named να υπάρχει το * και στο Filter Pins:all Από την επιλογή Named στην πάνω αριστερή γωνία του παραθύρου του Node Finder μπορείτε να επιλέξετε σήματα ανάλογα με το όνομά τους. Από την επιλογή Filter μπορείτε να επιλέξετε ομάδες σημάτων π.χ. σήματα εισόδου, εξόδου, όλα τα σήματα του σχεδίου (περιλαμβάνονται και αυτά που εισάγονται αυτόματα από τον μεταφραστή). 4. Επιλέξτε με το ποντίκι τους κόμβους από το αριστερό τμήμα του παραθύρου και με το > που υπάρχει στη μεσαία στήλη αντιγράψτε τους στο δεξί παράθυρο (selected nodes). Πατώντας ΟΚ το παράθυρο του Node Finder κλείνει και οι κόμβοι που επιλέξατε εμφανίζονται στο παράθυρο του Waveform Editor. 14

9 5. Στη γραμμή εργαλείων (Toolbar) στο πάνω μέρος της οθόνης εμφανίζεται μία σειρά εργαλείων που χρησιμοποιούνται για να καθοριστούν οι λογικές τιμές των σημάτων εισόδου. Οι τιμές στη έξοδο f εμφανίζονται αρχικά αόριστες (undefined, ΧΧΧΧΧ) και θα προσδιοριστούν όταν εκτελεστεί η εξομοίωση του κυκλώματος. Για να αλλάξετε την τιμή μίας εισόδου για ένα συγκεκριμένο χρονικό διάστημα πρέπει να επιλέξετε με το ποντίκι το αντίστοιχο τμήμα της κυματομορφής πάνω στο παράθυρο του Waveform editor και πατώντας στο Toolbar, το 0 ή το 1 να δώσετε την επιθυμητή τιμή. Αν επιλέξετε με το ποντίκι το όνομα ενός σήματος στο αριστερό τμήμα της οθόνης η τιμή που δίνετε ισχύει για όλη τη διάρκειά του. Δώστε στις εισόδους x1, x2 και x3 τις τιμές που φαίνονται στο παρακάτω σχήμα: 6. Σώστε το αρχείο του Waveform Editor από το menu file Save as με το όνομα askisi1.vwf. ΠΡΟΣΟΧΗ: Είναι επιθυμητό να δίνετε στο αρχείο κυματομορφών το ίδιο όνομα με το όνομα του entity Η προκαθορισμένη διάρκεια των σημάτων στο waveform file είναι 1 μsec. Μπορείτε να αλλάξετε το χρόνο αυτό από το menu EDIT SET END TIME. 7. Το Quartus διαθέτει δύο προσομοιωτές που μπορούν να χρησιμοποιηθούν για την επαλήθευση της ορθής λειτουργίας του κυκλώματος. Από το menu Simulation Options επιλέξτε Modelsim (Recommended). 8. Τρέξτε τον εξομοιωτή: Simulation Run Functional Simulation. Ανοίγει ένα παράθυρο στο οποίο εμφανίζονται διάφορα μυνήματα σχετικά με τη εκτέλεση του εξομοιωτή και πιθανά σφάλματα αν υπάρχουν. Μόλις ολοκληρωθεί η διαδικασία εμφανίζεται νέο παράθυρο ίδιο με αυτό του waveform editor στο οποίο εμφανίζονται και τα αποτελέσματα της εξομοίωσης. 9. Κάντε επαλήθευση των αποτελεσμάτων, με τη βοήθεια του πίνακα αληθείας. 10. Με την προαναφερόμενη διαδικασία έχετε επιβεβαιώσει τη σωστή λειτουργία του κυκλώματος για μερικούς μόνο συνδυασμούς των εισόδων. Για να κάνετε πλήρη επαλήθευση του πίνακα αληθείας πρέπει να βάλετε στις εισόδους όλους τους δυνατούς συνδυασμούς (8=23 στο συγκεκριμένο παράδειγμα). Για να το πετύχετε αυτό επιλέξτε το σήμα εισόδου από το όνομά του και με χρήση του εργαλείου overwrite clock από το Toolbar δημιουργήστε τις κυματομορφές που φαίνονται στο επόμενο σχήμα. Το x1 έχει περίοδο 10 nsec, το x2 20 nsec και το x3 40 nsec. Παρατηρήστε ότι κάθε είσοδος έχει 15

10 τη διπλάσια περίοδο από την προηγούμενή της σε άμεση αντιστοιχία με τον πίνακα αληθείας και ότι όλοι οι δυνατοί συνδυασμοί τους καλύπτονται στα πρώτα 40 nsec ενώ από εκεί και μετά επαναλαμβάνονται οι ίδιοι συνδυασμοί. 11. Κάντε επαλήθευση των αποτελεσμάτων, με τη βοήθεια του πίνακα αληθείας. 12. Συμπληρώστε τον κώδικα VHDL ώστε να υλοποιούνται στο ίδιο entity και οι δύο λογικές συναρτήσεις του βήματος 1 και επαναλάβετε τη διαδικασία για την λειτουργική εξομοίωση και την επαλήθευση της ορθής λειτουργίας του πλήρους κυκλώματος. 13. Εκτελέστε προσομοίωση χρονισμού του κυκλώματος (Simulation Run Timing Simulation) και παρατηρείστε τις διαφορές. 14. Για να συγκρίνετε τα αποτελέσματα της λειτουργικής και της χρονικής προσομοίωσης του κυκλώματος συμπληρώστε τον παρακάτω πίνακα. Σε κάθε στοιχείο του πίνακα να γράψετε τη χρονική στιγμή της αλλαγής της τιμής (από 0 σε 1 ή από 1 σε 0) της f και της g. Για να διευκολυνθείτε στην εκτίμηση της καθυστέρησης επιλέξτε από το menu edit Grid Size 1.0 ns f functional g timing 1η παρυφή 2η παρυφή 3η παρυφή 4η παρυφή 5η παρυφή 16 functional timing

11 ΑΝΑΚΕΦΑΛΑΙΩΣΗ: ΣΧΕΔΙΑΣΗ ΚΑΙ ΠΡΟΣΟΜΟΙΩΣΗ ΨΗΦΙΑΚΟΥ ΚΥΚΛΩΜΑΤΟΣ ΣΕ 9 ΒΗΜΑΤΑ Βήμα 1 Άνοιγμα νέου Project με όνομα κατάλληλο ανάλογα την άσκηση και σε directory όπως περιγράφεται στο μέρος Α βήμα 2, πχ. Project: askisi1 στο φάκελο D:\users\digitalx\psifiaka\askisi1. Βήμα 2 Δημιουργία αρχείου VHDL. Αρχικά δημιουργείται με το όνομα Vhdl1.Vhd, κάνετε αμέσως SAVE AS και δίνετε όνομα ίδιο με αυτό του Project, πχ. askisi1.vhd. Βήμα 3 Συγγραφή του κώδικα VHDL, ΠΡΟΣΟΧΗ το entity να έχει το ίδιο όνομα με το project και το αρχείο VHDL, πχ. Entity askisi1. Βήμα 4 Έλεγχος και διόρθωση των πιθανών σφαλμάτων με Start Analysis and Synthesis. Διορθώνετε το πρώτο λάθος από τη λίστα σφαλμάτων και επαναλαμβάνοντας Start Analysis and Synthesis συνεχίζετε μέχρι να διορθώσετε όλα τα λάθη. Βήμα 5 Πλήρης μετάφραση με χρήση του Compiler Tool. Βήμα 6 Δημιουργία αρχείου κυματομορφών Vector Waveform File με χρήση του University Program VWF. Αρχικά δημιουργείται με το όνομα Waveform1.vwf, κάνετε αμέσως SAVE AS και δίνετε όνομα ίδιο με αυτό του Project, πχ. askisi1.vwf. Βήμα 7 Τοποθέτηση των κόμβων στο αρχείου κυματομορφών με το Node Finder και απόδοση των επιθυμητών λογικών τιμών στα σήματα εισόδου (input ports). Βήμα 8 Επιλογή προσομοιωτή Modelsim (Recommended) Βήμα 9 Εκτέλεση της προσομοίωσης και επαλήθευση της ορθής λειτουργίας του κυκλώματος. 17

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: Βασικές Μονάδες

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: Βασικές Μονάδες ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα 1. Στόχοι 3

Διαβάστε περισσότερα

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Εισαγωγή στη VHDL Υλοποίηση στο Quartus ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκοντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ Σκοπός της δεύτερης άσκησης είναι αφενός η επανάληψη απαραίτητων γνώσεων από την ύλη του προηγούμενου εξαμήνου και αφετέρου η άμεση εισαγωγή στην υλοποίηση

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2010 Εισαγωγή Προπαρασκευαστική παρουσίαση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Quartus II Στο εργαστήριο

Διαβάστε περισσότερα

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο 2011-2012 Διδάσκων: Γιώργος Ζάγγουλος Βοήθημα για το Πρόγραμμα Modelsim-Altera και την χρησιμοποίηση του μέσα από το Quartus για εκτέλεση

Διαβάστε περισσότερα

Εισαγωγή στη Γλώσσα VHDL

Εισαγωγή στη Γλώσσα VHDL Εισαγωγή στη Γλώσσα VHDL Παράδειγμα and3 Entity και Architecture Entity Entity - Παραδείγματα Architecture VHDL simulation παραδείγματος and3 Παράδειγμα NAND VHDL simulation παραδείγματος nand Boolean

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Ακολουθιακή λογική, καταχωρητές και flip-flops Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

VHDL Εισαγωγικές έννοιες

VHDL Εισαγωγικές έννοιες VHDL Εισαγωγικές έννοιες ρ. Κ. Καραφασούλης ckaraf@aegean.gr Σχεδίαση Αρχικά ψηφιακά κυκλώµατα µπορούν να σχεδιασθούν µε το κατάλληλο λογισµικό. Μεγαλύτερα κυκλώµατα µπορούν να σχεδιασθούν ιεραρχικά από

Διαβάστε περισσότερα

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2007 Εισαγωγή Προπαρασκευαστική Άσκηση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Max +Plus II Στο εργαστήριο ΗΜΥ

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ 130 : Ψηφιακή σχεδίαση Βόλος 2015 1 Εισαγωγή Το Multisim είναι ένα ολοκληρωμένο περιβάλλον προσομοίωσης της συμπεριφοράς

Διαβάστε περισσότερα

Συστήματα Μικροεπεξεργαστών

Συστήματα Μικροεπεξεργαστών Εργαστήριο 1 ο Εισαγωγή στον AVR Περίγραμμα Εργαστηριακής Άσκησης Εισαγωγή... 2 Κατηγορίες μικροελεγκτών AVR... 2 Εξοικείωση με το περιβάλλον AVR Studio 4... 3 Βήμα 1ο: Δημιουργία νέου έργου (project)...

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C Στο εργαστήριο αυτό, θα ασχοληθούμε με δύο προγραμματιστικά περιβάλλοντα για τη γλώσσα C: τον gcc μεταγλωττιστή της C σε περιβάλλον

Διαβάστε περισσότερα

Structural VHDL. Structural VHDL

Structural VHDL. Structural VHDL Structural VHDL Παράδειγμα Multiplexer Component Component specification Port map command Unconnected outputs Unconnected inputs Generic map command Generate command Configuration Παράδειγμα 4-bit Adder

Διαβάστε περισσότερα

Πως θα κατασκευάσω το πρώτο πρόγραμμα;

Πως θα κατασκευάσω το πρώτο πρόγραμμα; Εργαστήριο Δομημένος Προγραμματισμός (C#) Τμήμα Μηχανολογίας Νικόλαος Ζ. Ζάχαρης Καθηγητής Εφαρμογών Σκοπός Να γίνει εξοικείωση το μαθητών με τον ΗΥ και το λειτουργικό σύστημα. - Επίδειξη του My Computer

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C Στο εργαστήριο αυτό, θα ασχοληθούμε με δύο προγραμματιστικά περιβάλλοντα της γλώσσας C, το Dev-C++, το οποίο είναι εφαρμογή που τρέχει

Διαβάστε περισσότερα

Δημιουργία και επεξεργασία διανυσματικών επιπέδων στο QGIS

Δημιουργία και επεξεργασία διανυσματικών επιπέδων στο QGIS Δημιουργία και επεξεργασία διανυσματικών επιπέδων στο QGIS Δημιουργία επιπέδου σχεδίασης 1. Από το Menu Layer Create Layer New Shapefile Layer δημιουργούμε νέο επίπεδο. Στο παράθυρο που ανοίγει (Εικ. 1)

Διαβάστε περισσότερα

Οδηγίες για την εγκατάσταση του πακέτου Cygwin

Οδηγίες για την εγκατάσταση του πακέτου Cygwin Οδηγίες για την εγκατάσταση του πακέτου Cygwin Ακολουθήστε τις οδηγίες που περιγράφονται σε αυτό το file μόνο αν έχετε κάποιο laptop ή desktop PC που τρέχουν κάποιο version των Microsoft Windows. 1) Copy

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Διδάσκoντες: Γιώργος Ζάγγουλος και Λάζαρος Ζαχαρία. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διδάσκoντες: Γιώργος Ζάγγουλος και Λάζαρος Ζαχαρία. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartusμε bdfκαι vhdlαρχεία. Σύγκριση των χρονικών καθυστερήσεωνπου προκύπτουν από τους 2 σχεδιασμούς. Διδάσκoντες:

Διαβάστε περισσότερα

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Δημήτρης Κωνσταντίνου, Γιώργος Δημητρακόπουλος Εφόσον έχουμε περιγράψει το κύκλωμά μας σε System Verilog θα πρέπει να βεβαιωθούμε πως λειτουργεί

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΔΕΔΟΜΕΝΩΝ ΑΠΟ EXCEL ΣΤΟ GRETL

ΕΙΣΑΓΩΓΗ ΔΕΔΟΜΕΝΩΝ ΑΠΟ EXCEL ΣΤΟ GRETL ΕΙΣΑΓΩΓΗ ΔΕΔΟΜΕΝΩΝ ΑΠΟ EXCEL ΣΤΟ GRETL Με το οικονομετρικό λογισμικό GRETL μπορούμε να κάνουμε Ανάλυση Χρονοσειρών σε δεδομένα (χρονοσειρές) με διάφορες μεθόδους και μοντέλα. Επειδή είναι εύκολο να βρούμε

Διαβάστε περισσότερα

Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartusμε bdfκαι vhdlαρχεία. Σύγκριση των χρονικών καθυστερήσεωνπου προκύπτουν από τους 2 σχεδιασμούς. Διδάσκoντες:

Διαβάστε περισσότερα

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Τμήμα Πληροφορικής & Επικοινωνιών Τομέας Υπολογιστικών Τεχνικών & Συστημάτων Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Ιωάννης Γεωργουδάκης - Πάρις Μαστοροκώστας Σεπτέμβριος 2011 ΠΕΡΙΕΧΟΜΕΝΑ

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Λογισμικό Προσομοίωσης LogiSim καιχρήση KarnaughMaps Διδάσκοντες: Δρ. Αγαθοκλής Παπαδόπουλος & Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

Δημιουργία μιας εφαρμογής Java με το NetBeans

Δημιουργία μιας εφαρμογής Java με το NetBeans Δημιουργία μιας εφαρμογής Java με το NetBeans Για να δημιουργήσετε μια εφαρμογή Java πρέπει να ακολουθήσετε τα εξής βήματα : Αρχικά πρέπει να δημιουργηθεί ένα project το οποίο θα περιέχει όλα τα αρχεία

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1: TO ΠΕΡΙΒΑΛΛΟΝ ΕΡΓΑΣΙΑΣ DEV-C++

ΑΣΚΗΣΗ 1: TO ΠΕΡΙΒΑΛΛΟΝ ΕΡΓΑΣΙΑΣ DEV-C++ ΑΣΚΗΣΗ 1: TO ΠΕΡΙΒΑΛΛΟΝ ΕΡΓΑΣΙΑΣ DEV-C++ Σκοπός της Άσκησης Ο σκοπός αυτής της εργαστηριακής άσκησης είναι η εξοικείωση με τη χρήση του περιβάλλοντος Dev C++ το οποίο θα χρησιμοποιηθεί για την υλοποίηση

Διαβάστε περισσότερα

9. O Προσομοιωτής Κβαντικού Υπολογιστή QCS

9. O Προσομοιωτής Κβαντικού Υπολογιστή QCS 9. O Προσομοιωτής Κβαντικού Υπολογιστή QCS Σύνοψη Στο κεφάλαιο αυτό δίνονται οι οδηγίες χρήσης του προσομοιωτή κβαντικού υπολογιστή QCS, ο οποίος έχει αναπτυχθεί από τον συγγραφέα και συνοδεύει το βιβλίο

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Εισαγωγή στο περιβάλλον Code::Blocks

Εισαγωγή στο περιβάλλον Code::Blocks Εισαγωγή στο περιβάλλον Code::Blocks Στο παρόν εγχειρίδιο παρουσιάζεται η διαδικασία ανάπτυξης, μεταγλώττισης και εκτέλεσης ενός προγράμματος C στο περιβάλλον του Code::Blocks. Η διαδικασία αυτή παρουσιάζεται

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Γιώργος Ζάγγουλοςκαι Δρ. Παναγιώτα Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 VHDL, Χαρακτηριστικά και τρόποι περιγραφής Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Τμήμα Μηχανικών Πληροφορικής ΤΕ http://diceslab.cied.teiwest.gr

Διαβάστε περισσότερα

Συνοπτικός Οδηγός Χρήσης του MySQL Workbench

Συνοπτικός Οδηγός Χρήσης του MySQL Workbench Συνοπτικός Οδηγός Χρήσης του MySQL Workbench To ΜySQL Workbench είναι μία εφαρμογή, με γραφικό περιβάλλον, στην οποία μπορούμε να συντάξουμε και να εκτελέσουμε εντολές SQL. To MySQL Workbench απαιτεί να

Διαβάστε περισσότερα

> μεγαλύτερο <= μικρότερο ή ίσο < μικρότερο == ισότητα >= μεγαλύτερο ή ίσο!= διαφορετικό

> μεγαλύτερο <= μικρότερο ή ίσο < μικρότερο == ισότητα >= μεγαλύτερο ή ίσο!= διαφορετικό 5 ο Εργαστήριο Λογικοί Τελεστές, Δομές Ελέγχου Λογικοί Τελεστές > μεγαλύτερο = μεγαλύτερο ή ίσο!= διαφορετικό Οι λογικοί τελεστές χρησιμοποιούνται για να ελέγξουμε

Διαβάστε περισσότερα

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι Εισαγωγή στη VHDL Εισαγωγή Very High Speed Integrated Circuits Hardware Description Language ιαφορές από γλώσσες προγραμματισμού: παράλληλη εκτέλεση εντολών προσδιορισμός

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

To περιβάλλον Ανάπτυξης εφαρμογών της Visual Basic 2008 Express Edition

To περιβάλλον Ανάπτυξης εφαρμογών της Visual Basic 2008 Express Edition To περιβάλλον Ανάπτυξης εφαρμογών της Visual Basic 2008 Express Edition Πίνακας Περιεχομένων To περιβάλλον Ανάπτυξης εφαρμογών της Visual Basic 2008 Express Edition... 1 Εκτέλεση του περιβάλλοντος ανάπτυξης

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 3 ΣΥΝΔΥΑΣΤΙΚΑ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ: ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ

ΑΣΚΗΣΗ 3 ΣΥΝΔΥΑΣΤΙΚΑ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ: ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΗ 3 ΣΥΝΔΥΑΣΤΙΚΑ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ: ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Αντικείμενο της άσκησης: Μεθοδολογία ανάλυσης και σχεδίασης συνδυαστικών λογικών κυκλωμάτων και λειτουργική εξομοίωση με το λογισμικό EWB. Συνδυαστικά

Διαβάστε περισσότερα

Ενότητα 6 ΑΝΑΛΥΣΗ & ΣΥΝΘΕΣΗ ΣΥΝΔΥΑΣΤΙΚΗΣ ΛΟΓΙΚΗΣ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΟΛΛΩΝ ΕΠΙΠΕΔΩΝ

Ενότητα 6 ΑΝΑΛΥΣΗ & ΣΥΝΘΕΣΗ ΣΥΝΔΥΑΣΤΙΚΗΣ ΛΟΓΙΚΗΣ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΟΛΛΩΝ ΕΠΙΠΕΔΩΝ Ενότητα 6 ΑΝΑΛΥΣΗ & ΣΥΝΘΕΣΗ ΣΥΝΔΥΑΣΤΙΚΗΣ ΛΟΓΙΚΗΣ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΟΛΛΩΝ ΕΠΙΠΕΔΩΝ Γενικές Γραμμές Ανάλυση Συνδυαστικής Λογικής Σύνθεση Συνδυαστικής Λογικής Λογικές Συναρτήσεις Πολλών Επιπέδων Συνδυαστικά

Διαβάστε περισσότερα

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Ενότητα : Εισαγωγή στο εργαλείο προσομοίωσης δικτύων Riverbed Modeler - Προσομοίωση δικτύου Ethernet. Όνομα Καθηγητή : Δημήτριος Λυμπερόπουλος, Σπύρος Δενάζης Τμήμα

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΧΡΗΣΤΗ ΓΙΑ ΤΟ ΠΡΟΓΡΑΜΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ ΤΑΞΗΣ

ΟΔΗΓΙΕΣ ΧΡΗΣΤΗ ΓΙΑ ΤΟ ΠΡΟΓΡΑΜΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ ΤΑΞΗΣ ΟΔΗΓΙΕΣ ΧΡΗΣΤΗ ΓΙΑ ΤΟ ΠΡΟΓΡΑΜΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ ΤΑΞΗΣ CLAROLINE Κατάλογος περιεχομένων Εγγραφή μαθητή στο Claroline...2 Εγγραφή σε μάθημα...3 Τα μαθήματά μου...4 Αλλαγή του προφίλ μου (Manage my account)...4

Διαβάστε περισσότερα

Λεπτομέριες τοιχοποιίας Σχεδίαση κάτοψης

Λεπτομέριες τοιχοποιίας Σχεδίαση κάτοψης 1 Λεπτομέριες τοιχοποιϊας Σχεδίαση κάτοψης Λεπτομέριες τοιχοποιίας Σχεδίαση κάτοψης Ξεκινώντας το πρόγραμμα εμφανίζονται οι επιλογές σχετικά με το τι θέλετε να κάνετε. Δημιουργώντας Νέο Δωμάτιο Όταν ο

Διαβάστε περισσότερα

Περιγραφή του βασικού παραθύρου του Cubase SE3. Εικόνα 1

Περιγραφή του βασικού παραθύρου του Cubase SE3. Εικόνα 1 Περιγραφή του βασικού παραθύρου του Cubase SE3 Εικόνα 1 Transport panel Προετοιμασία και εκτέλεση ηχογράφησης σε ένα κανάλι MIDI και Quantize 1. Ανοίγουμε το Cubase, πηγαίνουμε στο μενού File και επιλέγουμε

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΘΕΩΡΙΑ Οι ασκήσεις 3 και 4 αφορούν τον αντιστροφέα CMOS, ο οποίος είναι η απλούστερη αλ α ταυτόχρονα και σημαντικότερη πύλη για την κατανόηση της λειτουργίας των Ολοκληρωμένων

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Αγαθοκλής Παπαδόπουλος και Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής VHDL Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής Structural (Δομική) Dataflow (Ροής δεδομένων) Behavioral (Συμπεριφοράς) Η VDHL χρησιμοποιείται για την περιγραφή

Διαβάστε περισσότερα

Διαχείριση Έργων Πληροφορικής Εργαστήριο

Διαχείριση Έργων Πληροφορικής Εργαστήριο Διαχείριση Έργων Πληροφορικής Εργαστήριο «Εισαγωγή στο MS Project- Διάγραμμα Gantt» Μ.Τσικνάκης, Ρ.Χατζάκη Ε. Μανιαδή, Ά. Μαριδάκη 1. Εισαγωγή στο Microsoft Project To λογισμικό διαχείρισης έργων MS Project

Διαβάστε περισσότερα

Εξοικείωση με το πρόγραμμα DEV C++ Επικοινωνία Χρήστη - Υπολογιστή

Εξοικείωση με το πρόγραμμα DEV C++ Επικοινωνία Χρήστη - Υπολογιστή Εξοικείωση με το πρόγραμμα DEV C++ Επικοινωνία Χρήστη - Υπολογιστή Δημιουργία Νέου αρχείου Από το μενού προγραμμάτων ανοίγετε το DEV C++ Επιλέγετε File-> New-> Source File (συντόμευση πληκτρολογίου Ctrl+N)

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

«Κρυπτογράφηση Εταιριών»

«Κρυπτογράφηση Εταιριών» «Κρυπτογράφηση Εταιριών» Πριν την είσοδό σας στην εφαρμογή πατήστε το πλήκτρο «Live Update» ώστε να ενημερώσετε την εφαρμογή με την τελευταία έκδοση (3.00.15). Προστέθηκε η επιλογή «Κρυπτογράφηση Εταιριών».

Διαβάστε περισσότερα

ΠΑΡΑΡΤΗΜΑ Β. Verification

ΠΑΡΑΡΤΗΜΑ Β. Verification ΠΑΡΑΡΤΗΜΑ Β Ροή Σχεδίασης και VHDL Ροή Σχεδίασης Πριν περάσουµε σε περιγραφή της γλώσσας VHDL είναι χρήσιµο να δούµε το περιβάλλον και τη ροή της σχεδίασης. Τα βήµατα µιας σχεδίασης βασισµένης σε VHDL

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων.

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων. ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων. Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης V3.0

Εγχειρίδιο Χρήσης V3.0 ΕΦΑΡΜΟΓΗ ΔΙΑΧΕΙΡΙΣΗΣ ΠΕΡΙΕΧΟΜΕΝΟΥ Εγχειρίδιο Χρήσης V3.0 Πίνακας Περιεχομένων: 1. Σύνδεση με την συσκευή 3 1.1 Σύνδεση μέσω Wi-Fi Direct.... 3 1.2 Ενσύρματη σύνδεση (LAN) 3 1.3 Ασύρματη σύνδεση (WiFi).

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ "ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ" (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ "ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ" (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 Ο σχεδιασμός ιστοσελίδας με τη χρήση του προγράμματος Microsoft Office SharePoint

Διαβάστε περισσότερα

Εργαστήριο «Τεχνολογία Πολιτισμικού Λογισμικού» Ενότητα. Επεξεργασία πινάκων

Εργαστήριο «Τεχνολογία Πολιτισμικού Λογισμικού» Ενότητα. Επεξεργασία πινάκων Ενότητα 4 Επεξεργασία πινάκων 36 37 4.1 Προσθήκη πεδίων Για να εισάγετε ένα πεδίο σε ένα πίνακα που υπάρχει ήδη στη βάση δεδομένων σας, βάζετε τον κέρσορα του ποντικιού στο πεδίο πάνω από το οποίο θέλετε

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2007 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΕΡΓΑΣΤΗΡΙΑ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

Ράβδος Εργαλείων, σχεδόν τα ίδια εργαλεία και εικονίδια υπάρχουν όπως στα άλλα προγράμματα που έχετε μάθει μέχρι σήμερα.

Ράβδος Εργαλείων, σχεδόν τα ίδια εργαλεία και εικονίδια υπάρχουν όπως στα άλλα προγράμματα που έχετε μάθει μέχρι σήμερα. Σαχπατζίδης Αβραάμ Καθηγητής Πληροφορικής Π.Ε 20 Master of Arts (M.A) in "Gender, New Forms of Education, New Forms of Employment and New Technologies in the Information Age". Η Γλώσσα Προγραμματισμού

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

Εγχειρίδιο Χρήστη Φάση 1: Καταχώρηση Ειδικοτήτων

Εγχειρίδιο Χρήστη Φάση 1: Καταχώρηση Ειδικοτήτων ΦΟΡΕΑΣ: ΙΝΣΤΙΤΟΥΤΟ ΔΙΑΡΚΟΥΣ ΕΚΠΑΙΔΕΥΣΗΣ ΕΝΗΛΙΚΩΝ ΕΡΓΟ: «Υλοποίηση Πληροφοριακού συστήματος για την Υποστήριξη του Έργου Διαχείρισης των Δημοσίων Ι.Ε.Κ.» Εγχειρίδιο Χρήστη Φάση 1: Καταχώρηση Ειδικοτήτων

Διαβάστε περισσότερα

ΔΗ Μ Ι Ο ΥΡ Γ Ι Α W I K I με τ η χρήση τ η ς υπ ηρεσίας h t t p : /www.wik id ot.com /

ΔΗ Μ Ι Ο ΥΡ Γ Ι Α W I K I με τ η χρήση τ η ς υπ ηρεσίας h t t p : /www.wik id ot.com / ΔΗ Μ Ι Ο ΥΡ Γ Ι Α W I K I με τ η χρήση τ η ς υπ ηρεσίας h t t p : /www.wik id ot.com / 1. Τι είναι το wikidot Το wikidot είναι ένας δικτυακός τόπος στον οποίο κάθε χρήστης έχει το δικαίωμα να δημιουργήσει

Διαβάστε περισσότερα

Υπολογισμός και αποστολή Αναλυτικής Περιοδικής Δήλωσης

Υπολογισμός και αποστολή Αναλυτικής Περιοδικής Δήλωσης Υπολογισμός και αποστολή Αναλυτικής Περιοδικής Δήλωσης Το συγκεκριμένο εγχειρίδιο δημιουργήθηκε για να βοηθήσει την κατανόηση της Διαδικασίας υπολογισμού και αυτόματης υποβολής της Αναλυτικής Περιοδικής

Διαβάστε περισσότερα

Ανάπτυξη εφαρμογής Input-Output

Ανάπτυξη εφαρμογής Input-Output Ανάπτυξη εφαρμογής Input-Output Πίνακας Περιεχομένων Ανάπτυξη εφαρμογής Input-Output... 1 1. Εκτέλεση του περιβάλλοντος ανάπτυξης εφαρμογών της Visual Basic 2008 Express Edition... 1 2. Δημιουργία νέου

Διαβάστε περισσότερα

Ορισμός Νέου Κωδικού URegister

Ορισμός Νέου Κωδικού URegister Ορισμός Νέου Κωδικού URegister H Υπηρεσία Διαχείρισης Kωδικού χρήστη σας παρέχει τη δυνατότητα Ορισμού Νέου Κωδικού και Αλλαγής του Κωδικού σας με ασφαλή τρόπο σε περίπτωση που τον έχετε ξεχάσει. Στο έγγραφο

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ & ΧΡΗΣΗΣ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΝΑΠΤΥΞΗΣ BloodShed Dev-C++

ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ & ΧΡΗΣΗΣ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΝΑΠΤΥΞΗΣ BloodShed Dev-C++ ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ & ΧΡΗΣΗΣ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΝΑΠΤΥΞΗΣ BloodShed Dev-C++ Α. ΠΡΙΝ ΤΗΝ ΕΓΚΑΤΑΣΤΑΣΗ Το πρόγραμμα BloodShed Dev-C++ είναι ένα ολοκληρωμένο περιβάλλον ανάπτυξης* κώδικα για γλώσσες

Διαβάστε περισσότερα

Εθνική Σχολή Δημόσιας Υγείας Υγειονομική Σχολή Αθηνών Οδηγός Υποβολής. Ηλεκτρονική Υποβολή Αιτήσεων για τα Μεταπτυχιακά Προγράμματα Σπουδών

Εθνική Σχολή Δημόσιας Υγείας Υγειονομική Σχολή Αθηνών Οδηγός Υποβολής. Ηλεκτρονική Υποβολή Αιτήσεων για τα Μεταπτυχιακά Προγράμματα Σπουδών Εθνική Σχολή Δημόσιας Υγείας Υγειονομική Σχολή Αθηνών 1929-1994 Οδηγός Υποβολής Ηλεκτρονική Υποβολή Αιτήσεων για τα Μεταπτυχιακά Προγράμματα Σπουδών 2012 Εισαγωγή Κατά το Ακαδημαϊκό έτος 2012-2013, θα

Διαβάστε περισσότερα

KiCad Create new project Eeschema Electronic schematic editor Eeschema Page settings grid Place component

KiCad Create new project Eeschema Electronic schematic editor Eeschema Page settings grid Place component KiCad Από το εικονίδιο του KiCad ανοίγουμε το πρόγραμμα. Στο παράθυρο του προγράμματος εμφανίζεται το δέντρο της εργασίας αριστερά, ο editor της εργασίας δεξιά, ένα μενού εργασιών και εικονίδια στο επάνω

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 Ο σχεδιασμός ιστοσελίδας με τη χρήση του προγράμματος Microsoft Office SharePoint

Διαβάστε περισσότερα

ΕΡΓΑΛΕΙΑ ΚΑΤΑΣΚΕΥΗΣ ΠΑΙΧΝΙΔΙΩΝ: Εργασία με το λογισμικό Valve Editor

ΕΡΓΑΛΕΙΑ ΚΑΤΑΣΚΕΥΗΣ ΠΑΙΧΝΙΔΙΩΝ: Εργασία με το λογισμικό Valve Editor Στην άσκηση αυτή θα δούμε πώς μπορούμε να δημιουργήσουμε ένα χάρτη/πίστα και να ενσωματώσουμε βασική λειτουργικότητα, χρησιμοποιώντας το λογισμικό Valve Editor. Ας ξεκινήσουμε λοιπόν! 1. Δημιουργήστε ένα

Διαβάστε περισσότερα

Εργαστήριο 1-1 η Άσκηση - Ανάλυση

Εργαστήριο 1-1 η Άσκηση - Ανάλυση Εργαστήριο 1-1 η Άσκηση - Ανάλυση Εκφώνηση: Δημιουργείστε εφαρμογή σε Java Swing με χρήση του IDE NetBeans όπου θα παρουσιάζεται ποιο κουμπί πατήθηκε. Η εφαρμογή θα μοιάζει ως εξής: Πρώτο Βήμα: Αρχική

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΥΠΣ-ΕΔ/97 11/01/2017 Περιεχόμενα

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων. Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο

Διαβάστε περισσότερα

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL) Προσοµοίωση Συστηµάτων µε VHDL (Peter Ashenden, The Students Guide to VHDL) Κώδικας VHDL Περιβάλλον Σχεδίασης Αναλυτής ιαχειριστής Βιβλιοθήκης Σχεδίασης Προσοµοιωτής Αντίστροφος Αναλυτής Βιβλιοθήκη Σχεδίασης

Διαβάστε περισσότερα

Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4

Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4 Διδάςκων Τςιακμάκησ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΚΗ ΙΙ Python. 1η Ομάδα Ασκήσεων

ΠΛΗΡΟΦΟΡΙΚΗ ΙΙ Python. 1η Ομάδα Ασκήσεων ΠΛΗΡΟΦΟΡΙΚΗ ΙΙ Python 1η Ομάδα Ασκήσεων Περιεχόμενο εργαστηρίου: - Το περιβάλλον ανάπτυξης προγραμμάτων IDLE - Διαδικασία ανάπτυξης προγραμμάτων Python - Εισαγωγικά προγράμματα / print / μεταβλητές / input

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ GRS-1

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ GRS-1 ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ GRS-1 Σελίδα 1 ΓΕΝΙΚΑ - ΕΙΣΑΓΩΓΗ Το GRS-1 της TOPCON διαθέτει λειτουργικό σύστημα Windows CE NET 6.1 παρέχοντας την δυνατότητα εγκατάστασης οποιασδήποτε εφαρμογής και λογισμικού έκδοσης

Διαβάστε περισσότερα

Δομές Δεδομένων. Σημειώσεις από το εργαστήριο για τον χειρισμό του προγράμματος Eclipse. 5ο εξάμηνο. v1.0

Δομές Δεδομένων. Σημειώσεις από το εργαστήριο για τον χειρισμό του προγράμματος Eclipse. 5ο εξάμηνο. v1.0 Δομές Δεδομένων 5ο εξάμηνο Σημειώσεις από το εργαστήριο για τον χειρισμό του προγράμματος Eclipse v1.0 Τις σημειώσεις κράτησαν και διαμόρφωσαν σε word οι: Κονδύλη Γαλήνη, ΑΜ 5576 Μάλλιου Χριστίνα, ΑΜ 5413

Διαβάστε περισσότερα

Ανάκτηση Κωδικού URegister για Νεοεισαχθέντες Φοιτητές

Ανάκτηση Κωδικού URegister για Νεοεισαχθέντες Φοιτητές Ανάκτηση Κωδικού URegister για Νεοεισαχθέντες Φοιτητές H Υπηρεσία Διαχείρισης Kωδικού χρήστη σας παρέχει τη δυνατότητα Ανάκτησης του Κωδικού και Αλλαγής του Κωδικού σας με ασφαλή τρόπο σε περίπτωση που

Διαβάστε περισσότερα

Βήμα 1ο. Συνδεθείτε στο σύστημα διαχείρισης του Joomla ιστοχώρου σας. Η διεύθυνση θα είναι:

Βήμα 1ο. Συνδεθείτε στο σύστημα διαχείρισης του Joomla ιστοχώρου σας. Η διεύθυνση θα είναι: Βήμα 1ο Συνδεθείτε στο σύστημα διαχείρισης του Joomla ιστοχώρου σας. Η διεύθυνση θα είναι: http://www.onoma_site.gr/administrator και δώστε το όνομα χρήστη και τον κωδικό σας για τη διαχείριση. Βήμα 2ο

Διαβάστε περισσότερα

Φύλλο Εργασίας. Δραστηριότητα 1 Ανοίξτε το αρχείο DR01.html και δουλέψτε λίγο με την προσομοίωση του παλμογράφου για να εξοικειωθείτε.

Φύλλο Εργασίας. Δραστηριότητα 1 Ανοίξτε το αρχείο DR01.html και δουλέψτε λίγο με την προσομοίωση του παλμογράφου για να εξοικειωθείτε. Μάθημα: Τριγωνομετρικές Συναρτήσεις Φύλλο Εργασίας Δραστηριότητα 1 Ανοίξτε το αρχείο DR01.html και δουλέψτε λίγο με την προσομοίωση του παλμογράφου για να εξοικειωθείτε. Πληροφορίες: Αριστερά φαίνεται

Διαβάστε περισσότερα

Ψηφιακή Επεξεργασία Σήματος

Ψηφιακή Επεξεργασία Σήματος ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ψηφιακή Επεξεργασία Σήματος Ενότητα Γ: Οδηγίες για την Ανάπτυξη και Εκτέλεση Προγραμμάτων στο Code Composer Studio v.4 Όνομα Καθηγητή:

Διαβάστε περισσότερα

Βήμα 1: Γενικά στοιχεία της εργασίας και υπεύθυνος επικοινωνίας

Βήμα 1: Γενικά στοιχεία της εργασίας και υπεύθυνος επικοινωνίας 1 Γενικές Οδηγίες Βήμα 1: Γενικά στοιχεία της εργασίας και υπεύθυνος επικοινωνίας Βήμα 2: Τίτλος και κείμενο εργασίας Βήμα 3: Συγγραφείς Βήμα 4: Προεπισκόπηση εργασίας και υποβολή 2 Σε περίπτωση που δεν

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΚΗ Ι Εργαστήριο 1 MATLAB ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1. Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave

ΠΛΗΡΟΦΟΡΙΚΗ Ι Εργαστήριο 1 MATLAB ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1. Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1 Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave Περιεχόμενο εργαστηρίου: - Το περιβάλλον ανάπτυξης προγραμμάτων Octave - Διαδικασία ανάπτυξης προγραμμάτων MATLAB - Απλά

Διαβάστε περισσότερα

Οδηγός Χρήσης της Υπηρεσίας Τηλεομοιότυπου (RightFax Fax Service) Web Utility. (διαδικτυακή εφαρμογή)

Οδηγός Χρήσης της Υπηρεσίας Τηλεομοιότυπου (RightFax Fax Service) Web Utility. (διαδικτυακή εφαρμογή) ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΟΜΕΑΣ ΔΙΚΤΥΩΝ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Οδηγός Χρήσης της Υπηρεσίας Τηλεομοιότυπου (RightFax Fax Service) Web Utility (διαδικτυακή εφαρμογή) Αύγουστος 2011 ΠΕΡΙΕΧΟΜΕΝΑ 1. Πρόσβαση

Διαβάστε περισσότερα