Άδεια Χρήσης. Χρηματοδότηση

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Άδεια Χρήσης. Χρηματοδότηση"

Transcript

1

2 Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άδεια χρήσης άλλου τύπου, αυτή πρέπει να αναφέρεται ρητώς. Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ακαδημαϊκά Μαθήματα» του ΕΜΠ έχει χρηματοδοτήσει μόνο την αναδιαμόρφωση του υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους.

3 1. ΕΙΣΑΓΩΓΗ 1. ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΠΡΟΣΟΜΟΙΩΣΗΣ ModelSim Ο σχεδιασμός ψηφιακών συστημάτων βασίζεται σε μεγάλο βαθμό στη λειτουργία της προσμομοίωσης, δηλαδή, τον λειτουργικό και χρονικό έλεγχο αφαιρετικών περιγραφών των σχεδιαζόμενων συστημάτων, με σκοπό την εξεύρεση λαθών όσο το δυνατόν νωρίτερα. Η προσομοίωση απαιτεί τον κατάλληλο υπολογιστικό περιβάλλον. Τα πλέον διαδεδομένα περιβάλλοντα προσομοίωσης στη βιομηχανία σήμερα είναι τα ModelSim ( VCS ( Incisive Enterprise Simulator ( και Active- HDL ( Από αυτά, το περιβάλλον ModelSim (αρχικά αναπτύχθηκε από την εταιρεία Model Technologies που στη συνέχεια πωλήθηκε στην εταιρεία Mentor Graphics), αποτελεί εδώ και πολλά χρόνια μια σταθερή βιομηχανικού επιπέδου πλατφόρμα που επιπλέον, μετά από ειδικές συμφωνίες με εταιρείες κατασκευής μονάδων FPGA (Xilinx, Altera), έχει ενσωματωθεί στα δικά τους εργαλεία και προσφέρεται δωρεάν σε σπουδαστές. Τη στιγμή που γράφονται αυτές οι σημειώσεις, η εταιρεία κατασκευής μονάδων FPGA Altera ( προσφέρει δωρεάν το περιβάλλον προσομοίωσης ModelSim, το οποίο ονομάζει ModelSim-Altera Starter Software, στον υπερσύνδεσμο Το λογισμικό αυτό απαιτεί μόνο μια απλή διαδικασία πιστοποίησης (δημιουργία κωδικού χρήστη), και καλύπτει πλήρως τις ανάγκες του μαθήματος. Οι εικόνες που περιλαμβάνονται στις παρούσες σημειώσεις είναι από την έκδοση ModelSim-Altera Starter Software 10.0c (χωρίς τις αναβαθμίσεις υποστήριξης 1 και 2) και δεν διαφέρουν από οποιαδήποτε πρόσφατη έκδοση. Η λειτουργία του περιβάλλοντος προσομοίωσης ModelSim θα παρουσιαστεί στις επόμενες υποενότητες. 2. ΡΟΕΣ ΠΡΟΣΟΜΟΙΩΣΗΣ Ο προσομοιωτής ModelSim υποστηρίζει τρεις ροές προσομοίωσης, με διαφορετικά χαρακτηριστικά η κάθε μία, που εικονίζονται στα σχήματα 1.1, 1.2 και 1.3, τη βασική ροή, τη ροή με δημιουργία έργου και τη ροή με πολλαπλές βιβλιοθήκες πόρων. Η βασική ροή προσομοίωσης (σχήμα 1.1) αποτελείται απο τα παρακάτω βήματα. Δημιουργία βιβλιοθήκης εργασίας: Στο περιβάλλον ModelSim, όλες οι μονάδες υλικού μεταγλωττίζονται και τοποθετούνται σε μια βιβλιοθήκη. Η βασική και απαραίτητη βιβλιοθήκη ονομάζεται κατά σύμβαση work (βιβλιοθήκη εργασίας), και εκεί τοποθετούνται όλες οι μονάδες που μεταγλωττίζονται, εκτός αν για κάποιες μονάδες επιλεγεί άλλον όνομα, για καλύτερη οργάνωση του κώδικα. Μεταγλώττιση κώδικα: Μετά τη δημιουργία βιβλιοθήκης, οι μονάδες υλικού πρέπει να μεταγλωττιστούν σε ειδική εσωτερική μορφή, κατάλληλη για προσομοίωση. Η μορφή αυτή

4 είναι συμβατή με όλες τις πλατφόρμες εκτέλεσης του προσομοιωτή ModelSim (Windows, Linux) και συνεπώς δεν είναι απαραίτητη η επανα-μεταγλώττιση κατά τη μεταφορά σχεδίων υλικού. Αρχικοποίηση και διεξαγωγή προσομοίωσης: Αφού μεταγλωττιστεί ο κώδικας και τοποθετηθούν οι μονάδες υλικού στη βιβλιοθήκη εργασίας, η διαδικασία της προσομοίωσης μπορεί εκτελεστεί ως εξής. Όλες οι μονάδες που αναφέρονται στον κώδικα αντιστοιχίζονται με εσωτερικές μορφές που έχουν προκύψει από τη μεταγλώττιση και ο χρόνος προσομοίωσης αρχικοποιείται στο 0. Με κατάλληλες εντολές του χρήστη ο χρόνος αυτός αυξάνεται και παράγονται αποτελέσματα. Έλεγχος αποτελεσμάτων και αποσφαλμάτωση: Όταν η προσομοίωση εκτελεστεί για όσο χρόνο κρίνει ο σχεδιαστής, τα αποτελέσματα που παράχθηκαν μπορούν να ελεγχθούν είτε εποπτικά με κυματομορφές εισόδων και εξόδων είτε με σχετικά διαγνωστικά μηνύματα. Σε περίπτωση που παρατηρηθούν λάθη, ο προσομοιωτής ModelSim προσφέρει ισχυρούς μηχανισμούς εντοπισμού τους. Σχήμα 1.1. Βασική ροή προσομοίωσης.

5 Η ροή προσομοίωσης με δημιουργία έργου (σχήμα 1.2) βοηθά το σχεδιαστή να αυτοματοποιήσει τις διαδικασίες σχεδιασμού και ελέγχου. Η δημιουργία έργου δεν είναι πάντως απαραίτητη στο περιβάλλον ModelSim. Οι βασικές διαφορές της ροής αυτής με τη βασική ροή είναι: Δεν απαιτείται η δημιουργία βιβλιοθήκης εργασίας μια και αυτή δημιουργείται αυτόματα με τη δημιουργία έργου. Κάθε φορά που ξεκινάει o προσομοιωτής ModelSim ανακαλεί το τελευταίο έργο που ήταν ανοικτό, εκτός αν ο σχεδιαστής το κλείσει με σχετική εντολή. Σχήμα 1.2. Ροή προσομοίωσης με δημιουργία έργου.

6 Η ροή προσομοίωσης με πολλαπλές βιβλιοθήκες πόρων βασίζεται στην ιδιότητα του ModelSim να χειρίζεται δύο ειδών βιβλιοθήκες: 1) την τοπική βιβλιοθήκη εργασίας, στην οποία τοποθετεί τις μονάδες υλικού που μεταγλωττίζονται και 2) βιβλιοθήκες πόρων. Τα περιεχόμενα της βιβλιοθήκης εργασίας είναι δυναμικά, δηλαδή αλλάζουν καθώς μεταγλωττίζονται αρχεία κώδικα. Τα περιεχόμενα των βιβλιοθηκών πόρων είναι στατικά, δηλαδή έχουν αποθηκευτεί σε εσωτερική μορφή στο παρελθόν, είτε από άλλες ομάδες σχεδιασμού είτε από τον ίδιο το σχεδιαστή. Συνήθως χρησιμοποιούνται για τη δημιουργία βιβλιοθηκών δομικών μονάδων (π.χ. ψηφιακές πύλες), που θα μπορούν να τοποθετηθούν σε πιο πολύπλοκα σχέδια. Η διαφορά αυτής της ροής είναι οτι οι μονάδες υλικού που αναφέρονται στον κώδικα, μπορεί να μην βρίσκονται στην βιβλιοθήκη εργασίας και να προκύψουν από μεταγλώττιση, αλλά να πρέπει να αντιστοιχιθούν με μονάδες από μια βιβλιοθήκη πόρων. Η ροή προσομοίωσης με πολλαπλές βιβλιοθήκες πόρων μπορεί να συνδυαστεί με τη ροή προσομοίωσης με δημιουργία έργου, με σκοπό να αυτοματοποηθεί η αντιστοίχιση πόρων με μονάδες υλικού του κώδικα. Σχήμα 1.3. Ροή προσομοίωσης με πολλαπλές βιβλιοθήκες πόρων. 3. ΒΑΣΙΚΗ ΡΟΗ ΠΡΟΣΟΜΟΙΩΣΗΣ Η αρχική εκτέλεση του προσομοιωτή ModelSim (καλώντας vsim σε περιβάλλον Linux ή πατώντας το αντίστοιχο εικονίδιο σε περιβάλλον Windows) έχει ως αποτέλεσμα την εμφάνιση της κεντρικής οθόνης του σχήματος 1.4, που περιλαμβάνει μενού και σειρές εργαλείων, παράθυρο βιβλιοθηκών (οι

7 περισσότερες είναι τεχνολογικές βιβλιοθήκες με στοιχεία χρονισμού μονάδων FPGA της εταιρείας Altera) και παράθυρο εντολών. Η οθόνη αυτή αλλάξει σε μεγάλο βαθμό κατά την πορεία της προσομοίωσης (εμφανίζονται ανάλογα με τις επιλογές του χρήστη άλλα παράθυρα, που παρουσιάζουν αποτελέσματα με διαφορετικό τρόπο, όπως μεταβλητές, σήματα, αντικείμενα, κυματομορφές, κ.α., και ελέγχονται από το μενού View). Οι αλλαγές αυτές δίνουν ευελιξία και εύρος δυνατοτήτων στο εργαλείο, μπορεί όμως να μπερδέψουν τον αρχάριο χρήστη. Για το λόγο αυτό, παρακάτω θα παρουσιαστούν εποπτικά τα κύρια βήματα της βασικής ροής προσομοίωσης. Σχήμα 1.4. Κεντρική αρχική οθόνη προσομοιωτή ModelSim. Πριν από την έναρξη της προσομοίωσης καλό είναι να αντιγραφούν όλα τα αρχεία κώδικα σε ένα νέο υποκατάλογο. Με την εντολή File Change Directory, η προσομοίωση ξεκινάει με αναφορά τον υποκατάλογο αυτό. Στη συνέχεια, με την εντολή File New Library, εμφανίζεται το παράθυρο του σχήματος 1.5 και δημιουργείται η βιβλιοθήκη εργασίας work ως φυσική οντότητα (υποκατάλογος) αλλά και λογική δέσμευση με τη διαδικασία προσομοίωσης των αρχείων κώδικα που έχουν αντιγραφεί, σύμφωνα και με τη διαδικασία του σχήματος 1.1. Γράφοντας τη λέξη work στα πεδία του σχήματος 1.5 και πατώντας OK, ο προσομοιωτής αλλάζει τη λίστα βιβλιοθηκών στην κεντρική οθόνη προσθέτωντας τη νέα βιβλιοθήκη work (σχήμα 1.6) και στο παράθυρο εντολών εμφανίζεται η εκτέλεση των: vlib work vmap work work Η συστηματική παρατήρηση του παράθυρου εντολών βοηθάει στην εκμάθηση αυτών που αντιστοιχούν στις επιλογές των μενού, που μπορεί να βοηθήσει στην αυτοματοποίηση της διαδικασίας προσομοίωσης (με χρήση αρχείου εντολών προσομοίωσης).

8 Σχήμα 1.5. Δημιουργία βιβλιοθήκης εργασίας. Σχήμα 1.6. Νέα (κενή) βιβλιοθήκης εργασίας. Για τη συνέχεια της παρουσίασης, δίνεται το παρακάτω αρχείο κώδικα που περιγράφει ένα σύγχρονο μετρητή παλμών πρός τα πάνω (από 0 έως ), το οποίο έχει αντιγραφεί στον υποκατάλογο κώδικα. --* * * * * * * * * * * VHDL Source Code * * * * * * * * * * --* Title : Test_Counter --* Filename & Ext : test_counter.vhdl --* Author : David Bishop <dbishop@vhdl.org> X-XXXXX --* Created : 1999/03/12 --* Last modified : $Date: :20:26-05 $ --* WORK Library : ASICNAME --* Description : Variable width counter and a register --* Known Bugs : --* RCS Summary : $Id: test_counter.vhdl,v :20:26-05 bishop Exp $

9 --* : --* Mod History : $Log: test_counter.vhdl,v $ --* Mod History : Revision :20:26-05 bishop --* Mod History : Initial revision --* Mod History : --* : --* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity test_counter is generic ( width : integer := 17 ); port ( clk : in std_ulogic; reset : in std_ulogic; enable : in std_ulogic; count : out std_logic_vector ( width-1 downto 0) ); end test_counter; -- RTL description. Adds 1 on every clock pulse when enable is asserted. architecture rtl of test_counter is constant terminal_count : integer := 2**width - 1; subtype counter_range is integer range 0 to terminal_count; signal count_int : counter_range; begin -- rtl count <= std_logic_vector (to_unsigned (count_int, width)); counter : process ( clk, reset ) begin if reset = '0' then count_int <= 0; elsif rising_edge ( clk ) then if enable = '1' then if count_int = terminal_count then count_int <= 0; else count_int <= count_int + 1; end if; end if; end if; end process counter; end rtl;

10 Με την εντολή Compile Compile ανοίγει το παράθυρο του σχήματος 1.7, από το οποίο επιλέγονται αρχεία κώδικα για μεταγλώττιση (στη συγκεκριμένη περίπτωση, το αρχείο counter.vhd). Με το πλήκτρο Compile (κάτω δεξιά) γίνεται μεταγλώττιση σε ειδική εσωτερική μορφή που τοποθετείται αν δεν προκύψουν σφάλματα στη βιβλιοθήκη εργασίας (σχήμα 1.8, οντότητα test_counter και αρχιτεκτονική rtl, που εμφανίζονται πατώντας αριστερά το +) ενώ με το πλήκτρο Done τερματίζεται η διαδικασία μεταγλώττισης. Σχήμα 1.7. Μεταγλώττιση αρχείων κώδικα. Σχήμα 1.8. Τοποθέτηση μεταγλωττισμένων αρχείων στη βιβλιοθήκη εργασίας.

11 Το επόμενο βήμα για την προσομοίωση είναι η αρχικοποίηση, που γίνεται είτε πατώντας δύο φορές την οντότητα test_counter στην κεντρική οθόνη βιβλιοθηκών, είτε εκτελώντας την εντολή Simulate Start Simulation και επιλέγοντας πάλι την ίδια οντότητα, όπως φαίνεται στο παράθυρο του σχήματος 1.9. Επειδή η οντότητα test_counter έχει μία μόνο αρχιτεκτονική (rtl), η επιλογή της πρώτης ισοδυναμεί με επιλογή και της δεύτερης (άλλωστε, στη VHDL προσομοιώνονται ζεύγη οντοτήτων-αρχιτεκτονικών, με το λειτουργικό κομμάτι προσομοίωσης να βρίσκεται στην αρχιτεκτονική). Σχήμα 1.9. Αρχικοποίηση προσομοίωσης. Με την αρχικοποίηση της προσομοίωσης εμφανίζονται μια σειρά από νέα παράθυρα μέσα στην κεντρική οθόνη (αν κάποιο από αυτά δεν εμφανιστεί, μπορεί ο χρήστης να το εμφανίσει από το μενου View). Τα παράθυρα αυτά, μπορούν να απεξαρτηθούν από την κεντρική οθόνη και να κινηθούν ελέυθερα επιλέγοντας Dock/Undock από το μενού του κάθε παραθύρου (πάνω αριστερά). Τα παράθυρα αυτά είναι: 1. Το παράθυρο δομής (σχήμα 1.10), που εικονίζει τις βασικές δομικές μονάδες από τις οποίες αποτελείται ο κώδικας που προσομοιώνεται (διεργασίες, συντρέχουσες εντολές, κτλ). 2. Το παράθυρο αντικειμένων (σχήμα 1.11), που εικονίζει τα βασικά αντικείμενα αποθήκευσης και χειρισμού δεδομένων (θύρες Ε/Ε, σήματα, μεταβλητές, σταθερές) που αντιστοιχούν στη δομική μονάδα που είναι επιλεγμένη στ οπαράθυρο δομής.

12 3. Το παράθυρο διεργασιών (σχήμα 1.12), που εικονίζει όλες τις ενεργές διεργασίες και συντρέχουσες εντολές σε κάθε φάση της προσομοίωσης. 4. Το παράθυρο κυματομορφών (σχήμα 1.13), που εικονίζει κυματομορφές επιλεγμένων σημάτων και θυρών Ε/Ε σε κάθε φάση της προσομοίωσης. Σχήμα Παράθυρο δομής. Σχήμα Παράθυρο αντικειμένων. Σχήμα Παράθυρο διεργασιών.

13 Σχήμα Παράθυρο κυματομορφών. Μετά την αρχικοποίηση μπορεί να εκτελεστεί η κύρια διαδικασία της προσομοίωσης. Η παρατήρηση των αποτελεσμάτων γίνεται με εποπτικό τρόπο στο παράθυρο κυματομορφών, το οποίο είναι αρχικά κενό (σχήμα 1.13). Η προσθήκη σημάτων και θυρών Ε/Ε στο παράθυρο κυματομορφών μπορεί να γίνει με διαφορετικούς τρόπους. Αυτό είναι ένα χαρακτηριστικό του προσομοιωτή ModelSim, οτι δηλαδή πολλές λειτουργίες γίνονται με εναλλακτικούς και όχι μοναδικό τρόπο, με σκοπό την αύξηση της ευελιξίας και συνεπώς και της παραγωγικότητας του χρήστη. Πιο συγκεκριμένα, η προσθήκη σημάτων στο παράθυρο κυματομορφών μπορεί να γίνει είτε πατώντας δεξί κουμπί στην οντότητα test_counter στο παράθυρο δομής, με το οποίο εμφανίζονται τα μενού του σχήματος 1.14 και μπορούμε να επιλέξουμε Add To Wave All items in region, είτε πατώντας δεξί κουμπί σε οποιοδήποτε σημείο του παράθυρου αντικειμένων, με το οποίο εμφανίζονται τα μενού του σχήματος 1.15 και μπορούμε να επιλέξουμε Add To Wave Signals in Region, είτε επιλέγοντας τα σήματα και τις θύρε Ε/Ε από το παράθυρο αντικειμένων και σύροντάς τα στο παράθυρο κυματομορφών. Σε κάθε επιλογή, εμφανίζονται οι αντίστοιχες εντολές στο παράθυρο εντολών, που μπορούν να χρησιμοποιηθούν για την αυτοματοποίηση της διαδικασίας. Σχήμα Προσθήκη σημάτων στο παράθυρο κυματομορφών από το παράθυρο δομής.

14 Σχήμα Προσθήκη σημάτων στο παράθυρο κυματομορφών από το παράθυρο αντικειμένων. Το επόμενο βήμα για σωστή προσομοίωση είναι η αρχικοποίηση των αναγαίων σημάτων και θυρών εισόδου (οι θύρες εξόδου δεν αρχικοποιούνται αλλά παίρνουν τιμές κατά τη διάρκεια της προσομοίωσης). Στο συγκεκριμένο παράδειγμα του μετρητή αναγκαίος είναι ο ορισμός ενός σήματος ρολογιού για την είσοδο clk και η αρχικοποίηση της εισόδου επίτρεψης enable στην τιμή 1. Κυκλωματικά ορθός αλλά όχι αναγκαίος είναι και ο ορισμός ενός παλμού επαναφοράς για την είσοδο reset, καθώς σε ένα πραγματικό κύκλωμα η αρχική κατάσταση είναι απροσδιόριστη ενώ στον προσομοιωτή τα σήματα και οι θύρες εισόδου αρχικά λαμβάνουν την μικρότερη τιμή του τύπου δεδομένων που ανήκουν. Οι αρχικοποιήσεις μπορούν να γίνουν σε οποιαδήποτε χρονική στιγμή της προσομοίωσης και επιρεάζουν τα αποτελέσματα από εκεί και πέρα. Ο ορισμός σήματος ρολογιού γίνεται πατώντας δεξί κουμπί στο επιθυμητό σήμα στο παράθυρο κυματομορφών (είσοδος clk στο σχήμα 1.16) και επιλέγοντας Clock... Όλα τα σήματα και οι θύρες Ε/Ε στο παράθυρο κυματομορφών εμφανίζονται ιεραρχικά (π.χ. /test_counter/clk), ώστε σε πολύπλοκα σχέδια με πολλά ιεραρχικά επίπεδα δομικών μονάδων να παρουσιάζονται με ακρίβεια οι οντότητες κάθε μονάδας. Οι παράμετροι του ρολογιού παρουσιάζονται στο σχήμα 1.17, όπου οι αναγραφόμενοι χρόνοι είναι ps. Με παρόμοιο τρόπο (δεξί κουμπί, επιλογή Force στο σχήμα 1.18), αρχικοποιήται και η είσοδος enable στην τιμή 1, όπως φαίνεται στο παράθυρο παραμέτρων του σχήματος 1.19.

15 Σχήμα Εισαγωγή ρολογιού. Σχήμα Παράμετροι ρολογιού.

16 Σχήμα Εισαγωγή σταθεράς. Σχήμα Παράμετροι σταθεράς. Το τελευταίο βήμα της διαδικασίας προσομοίωσης είναι η εκτέλεση για ορισμένο χρόνο, όπως προκύπτει από τα αντίστοιχα εργαλεία προσομοίωσης του σχήματος Συγκεκριμένα, από αριστερά προς τα δεξιά, το πρώτο εργαλείο του σχήματος 1.20 (Restart) αρχικοποιεί ξανά την προσομοίωση, το δεύτερο (Run Length - πεδίο με αριθμητική τιμή 100 ps) ορίζει το βήμα προσομοίωσης, το τρίτο (Run) και το τέταρτο (ContinueRun) εκτελούν ένα βήμα προσομοίωσης (στο συγκεκριμένο σχήμα 100 ps) και στη συνέχεια ενημερώνουν το παράθυρο κυματομορφών, το πέμπτο εκτελεί συνεχή προσομοίωση (Run All) και το έκτο (Break) και το έβδομο (Stop) τη διακόπτουν (οι μικροδιαφορές εργαλείων με παραπλήσια λειτουργία περιγράφονται στο εγχειρίδιο οδηγιών του προσομοιωτή ModelSim). Στη συνεχή προσομοίωση το παράθυρο κυματομορφών ενημερώνεται μόνο όταν γίνει διακοπή.

17 Σχήμα Εργαλεία χρόνου προσομοίωσης. Η πιο απλή διαδικασία προσομοίωσης (για απλά και μικρά σχέδια, όπως το παράδειγμα της οντόητας test_counter), γίνεται πατώντας διαδοχικά το εργαλείο Run, με αποτέλεσμα να εμφανίζονται σταδιακά οι κυματομορφές όπως εικονίζονται στο σχήμα 1.21, και να γίνεται εύκολη η παρατήρηση της βασικής συμπεριφοράς του κυκλώματος. Σχήμα Κυματομορφές μετρητή.

18 2. ΤΟ ΕΡΓΑΛΕΙΟ ΣΥΝΘΕΣΗΣ ΚΑΙ ΥΛΟΠΟΙΗΣΗΣ PlanAhead 1. ΕΙΣΑΓΩΓΗ Η δεύτερη κύρια λειτουργία κατά το σχεδιασμό ψηφιακών συστημάτων είναι η σύνθεση. Η σύνθεση μετασχηματίζει με μοναδικό τρόπο μια αφαιρετική γλωσσική περιγραφή σε κυκλωματική περιγραφή, αρχικά ανεξάρτητα από την τεχνολογία υλοποίησης (σύνθεση επιπέδου μεταφορών καταχωρητών RTL), και στη συνέχεια βασισμένη στην τεχνολογία υλοποιησης (τεχνολογική σύνθεση). Η πρώτη, χρησιμοποιεί ως δομικές μονάδες συνηθισμένα ψηφιακά κυκλώματα, συνδυαστικά και ακολουθιακά (πύλες, πολυπλέκτες, κωδικοποιητές, καταχωρητές, μετρητές, κ.α.). Η δεύτερη χρησιμοποιεί ψηφιακά κυκλώματα που υπάρχουν στην τεχνολογική βιβλιοθήκη που παρέχεται από τον τελικό κατασκευαστή, όπως γεννήτριες συναρτήσεων LUT και flip-flop για τεχνολογίες FPGA ή τυπικά κελιά για τεχνολογίες ASIC. Τα πλέον διαδεδομένα περιβάλλοντα σύνθεσης στη βιομηχανία σήμερα είναι τα Design Compiler ( ), Synplify ( ges/synplifypremier.aspx), Encounter RTL Compiler ( Precision RTL ( ISE Design Suite ( Vivado Design Suite ( και Quartus II ( Από αυτά, το περιβάλλον ISE Design Suite περιλαμβάνει μια σειρά εργαλείων για υλοποίηση σε τεχνολογία Xilinx FPGA αλλά και το εργαλείο PlanAhead, που με εποπτικό τρόπο παρουσιάζει τα αποτελέσματα τόσο της τεχνολογικής όσο και της μη τεχνολογικής σύνθεσης, και μπορεί να αποτελέσει χρήσιμη βοήθεια στην εκμάθηση των γλωσσών περιγραφής υλικού. Τη στιγμή που γράφονται αυτές οι σημειώσεις, η εταιρεία κατασκευής μονάδων FPGA Xilinx ( προσφέρει δωρεάν το περιβάλλον ISE Design Suite μαζί με το εργαλείο PlanAhead, σε χρονικά περιορισμένη δοκιμαστική έκδοση, στον υπερσύνδεσμο Το λογισμικό αυτό απαιτεί μια απλή διαδικασία πιστοποίησης (δημιουργία κωδικού χρήστη) και τη δημιουργία αρχείου άδειας λειτουργίας, και καλύπτει πλήρως τις ανάγκες του μαθήματος. Οι εικόνες που περιλαμβάνονται στις παρούσες σημειώσεις είναι από την έκδοση 12.3 του εργαλείου PlanAhead (λόγω προβλημάτων συμβατότητας με το λειτουργικό σύστημα Windows XP) και δεν διαφέρουν από οποιαδήποτε πρόσφατη έκδοση. Η λειτουργία του εργαλείου σύνθεσης και υλοποίησης PlanAhead θα παρουσιαστεί στις επόμενες υποενότητες. 2. ΣΥΝΘΕΣΗ ΜΕ ΤΟ ΕΡΓΑΛΕΙΟ PLANAHEAD Το εργαλείο PlanAhead υποστηρίζει τη δημιουργία διαφορετικού τύπου έργων, ανάλογα με τα διαθέσιμα αρχεία κώδικα. Η αρχική εκτέλεσή του (καλώντας planahead σε περιβάλλον Linux ή πατώντας το αντίστοιχο εικονίδιο σε περιβάλλον Windows), έχει ως αποτέλεσμα την εμφάνιση της

19 αρχικής οθόνης του σχήματος 2.1, από την οποία ξεκινάει η διαδικασία δημιουργίας νέου έργου με την εντολή Create New Project. Σχήμα 2.1. Αρχική οθόνη εργαλείου PlanAhead. Το αποτέλεσμα της εντολής είναι η εμφάνιση του εισαγωγικού παραθύρου του σχήματος 2.2, και στη συνέχεια του παραθύρου του σχήματος 2.3, στο οποίο καθορίζονται το όνομα και η θέση (υποκατάλογος) του νέου έργου. Ο υποκατάλογος αυτός δεν είναι απαραίτητο να περιέχει αρχεία κώδικα, αυτά αντιγράφονται αυτόματα από το εργαλείο στην κατάλληλη θέση. Στη συνέχεια εμφανίζεται το παράθυρο του σχήματος 2.4, όπου ορίζεται ο τρόπος δημιουργίας, σύνδεσης ή μεταφοράς των αρχείων κώδικα από άλλες θέσεις ή έργα άλλων εργαλείων. Η πρώτη επιλογή, Specify RTL Sources, χρησιμοποιείται για την αντιγραφή υπαρχόντων αρχείων από άλλο υποκατάλογο. Αυτό φαίνεται και στο σχήμα 2.5, όπου επιλέγεται το αρχείο counter.vhd (το περιεχόμενό του είναι το ίδιο με αυτό του προηγουμένου κεφαλαίου), μεταγλώττιση στη βιβλιοθήκη εργασίας work και αντιγραφή από την αρχική του θέση στον υποκατάλογο του νέου έργου (Copy Sources into Project). Στη συνέχεια εμφανίζεται το παράθυρο προαιρετικής εισαγωγής αρχείου περιορισμών (σχήμα 2.6), που έχει να κάνει με την τελική υλοποίηση και αρχικά μπορεί να μείνει κενό. Ακολουθεί το παράθυρο επιλογής μονάδας υλοποίησης (σχήμα 2.7), όπου επιλέγεται η μονάδα FPGA στην οποία θα γίνει υλοποίηση. Επιλέγοντας στοιχεία όπως οικογένεια μονάδων (Family) ή συσκευασία (Package), η λίστα μονάδων περιορίζεται σημαντικά και μπορούμε ευκολότερα να εντοπίσουμε αυτή που μας ενδιαφέρει. Τέλος, εμφανίζεται το παράθυρο περίληψης επιλογών (σχήμα 2.8), μετά το οποίο και πατώντας Finish δημιουργείται το νέο έργο και εμφανίζεται η αρχική οθόνη του, όπως εικονίζεται στο σχήμα 2.9.

20 Σχήμα 2.2. Εισαγωγικό παράθυρο δημιουργίας νέου έργου. Σχήμα 2.3. Καθορισμός ονόματος και θέσης νέου έργου..

21 Σχήμα 2.4. Τρόπος δημιουργίας αρχείων κώδικα. Σχήμα 2.5. Εισαγωγή υπάρχοντος αρχείου κώδικα.

22 Σχήμα 2.6. Προαιρετική εισαγωγή αρχείου περιορισμών. Σχήμα 2.7. Επιλογή μονάδας υλοποίησης τεχνολογίας Xilinx FPGA.

23 Σχήμα 2.8. Τελικό παράθυρο περίληψης. Σχήμα 2.9. Αρχική οθόνη νέου έργου.

24 Η αρχική οθόνη του νέου έργου είναι πολύ πλούσια σε εργαλεία και πληροφορίες. Συνοπτικά, στο κέντρο εμφανίζεται το παράθυρο κώδικα (σχήμα 2.10), το οποίο περιέχει όλα τα αρχεία κώδικα και περιορισμών του έργου. Πατώντας δεξί κουμπί πάνω σε αυτά, εμφανίζεται ένα μενού πλούσιο σε εντολές. Για παράδειγμα, η εντολή Find in Files μπορεί να μας βοηθήσει να βρούμε φράσεις (π.χ. ονόματα σημάτων ή θυρών Ε/Ε) κοινές σε όλα τα αρχεία του έργου (πολύ χρήσιμο για μεγάλα σχέδια). Αριστερά, όπως εικονίζεται στο σχήμα 2.11 είναι το παράθυρο διαχείρισης έργου, από το οποίο δίνονται εντολές για τα βήματα που επιθυμεί να κάνει ο σχεδιαστής και χωρίζεται σε τρία πεδία που αντιιστοιχούν σε αντίστοιχες φάσης σχεδιασμού: RTL Design (μη τεχνολογική σύνθεση), Netlist Design (τεχνολογική σύνθεση) και Implemented Design (υλοποίηση). Κάθε πεδίο ακολουθείται από ένα παράθυρο εργαλείων (Synthesize, Implement και Program and Debug), από το οποίο γίνεται μετάβαση από το ένα πεδίο στο άλλο και χειρισμός των δεδομένων κάθε πεδίου. Τέλος, δεξιά στην αρχική οθόνη νέου έργου, βρίσκεται το παράθυρο πολλαπών πληροφοριών, στο οποίο παρουσιάζονται αποτελέσματα. Σχήμα Παράθυρο κώδικα νέου έργου.

25 Σχήμα Παράθυρο διαχείρισης έργου. Η διαδικασία της σύνθεσης ξεκινάει πατώντας Synthesize κάτω από το πεδίο RTL Design. Με το παράθυρο του σχήματος 2.12 ζητείται να οριστεί ή κεντρική οντότητα του σχεδίου ενώ με το τέλος της σύνθεσης ζητείται η επόμενη κίνηση με τις επιλογές του παραθύρου του σχήματος Η επιλογή Open Netlist Design απλά εμφανίζει τα αποτελέσματα της σύνθεσης. Εναλλακτικά, η σύνθεση μπορεί να γίνει και πατώντας το κάτω βέλος στα δεξιά του Synthesize και επιλέγοντας την εντολή Synthesis Settings, από την οποία έχουμε πρόσβαση σε πολλές παραμέτρους σύνθεσης, όπως φαίνεται στο παράθυρο του σχήματος Σχήμα Ορισμός κεντρικής οντότητας.

26 Σχήμα Επιλογή ενέργειας μετά το τέλος της σύνθεσης. Σχήμα Παράμετροι σύνθεσης. Με το τέλος της σύνθεσης, η αρχική οθόνη του έργου είναι όπως αυτή του σχήματος 2.15, όπου δεξιά παρουσιάζεται η μονάδα FPGA και οι δεσμεύσεις στοιχείων που έχουν γίνει. Το μη τεχνολογικό σχηματικό, μια πρώτη δηλαδή προσέγγισης της αντιστοίχισης γλωσσικών μονάδων σε κοινές κυκλωματικές μονάδες, εμφανίζεται πατώντας αρχικά το κάτω βέλος στα δεξιά του RTL Design και επιλέγοντας την εντολή Open RTL Design. Πατώντας OK στο παράθυρο του σχήματος 2.16, το κεντρικό παράθυρο κώδικα του έργου εμφανίζει μια λίστα με δομικές μονάδες του μή τεχνολογικού σχηματικού (σχήμα 2.17). Πατώντας την πρώτη από πάνω (test_counter), ενεργοποιείται το μεσαίο κουμπί πάνω από τη λίστα, το οποίο όταν πατηθεί εμφανίζει το μη τεχνολογικό σχηματικό του σχήματος Από το σχηματικό αυτό και με το πλούσιο μενού που εμφανίζεται πατώντας δεξί κουμπί σε κάποια μονάδα, ο σχεδιαστής αποκτά καλή γνώση σχετικά με τη διαδικασία μετασχηματισμού γλωσσικών περιγραφών σε κυκλώματα. Παράλληλα, στο παράθυρο πολλαπλών πληροφοριών, παρουσιάζονται συνοπτικά τα αποτελέσματα της σύνθεσης (σχήμα 2.19).

27 Σχήμα Αρχική οθόνη έργου με τεχνολογικό σχέδιο. Σχήμα Παρουσίαση μη τεχνολογικού σχεδίου.

28 Σχήμα Δομικές μονάδες μη τεχνολογικού σχηματικού. Σχήμα Μη τεχνολογικό σχηματικό διάγραμμα.

29 Σχήμα Πληροφορίες σύνθεσης. Από το παράθυρο πολλαπλών πληροφοριών παρέχεται πρόσβαση και στα αρχεία αναφορών, όπως το παρακάτω, από τα οποία προκύπτουν λεπτομερή αποτελέσματα της σύνθεσης. Release xst M.70d (nt) Copyright (c) Xilinx, Inc. All rights reserved. --> Reading design: test_counter.prj TABLE OF CONTENTS 1) Synthesis Options Summary

30 2) HDL Compilation 3) Design Hierarchy Analysis 4) HDL Analysis 5) HDL Synthesis 5.1) HDL Synthesis Report 6) Advanced HDL Synthesis 6.1) Advanced HDL Synthesis Report 7) Low Level Synthesis 8) Partition Report 9) Final Report 9.1) Device utilization summary 9.2) Partition Resource Summary 9.3) TIMING REPORT ======= * Synthesis Options Summary * ======= ---- Source Parameters Input File Name : "test_counter.prj" ---- Target Parameters Target Device Output File Name : xc3s500efg320-4 : "test_counter.ngc" ---- Source Options Top Module Name : test_counter

31 ---- General Options Optimization Goal : speed Optimization Effort : 1 Netlist Hierarchy : rebuilt ======= ======= * HDL Compilation * ======= Compiling vhdl file "C:/Users/geconom/planahead/project_1/project_1.srcs/sources_1 /imports/counter.vhd" in Library work. Entity <test_counter> compiled. Entity <test_counter> (Architecture <rtl>) compiled. ======= * Design Hierarchy Analysis * ======= Analyzing hierarchy for entity <test_counter> in library <work> (architecture <rtl>) with generics. width = 17

32 ======= * HDL Analysis * ======= Analyzing generic Entity <test_counter> in library <work> (Architecture <rtl>). width = 17 Entity <test_counter> analyzed. Unit <test_counter> generated. ======= * HDL Synthesis * ======= Performing bidirectional port resolution... Synthesizing Unit <test_counter>. Related source file is "C:/Users/geconom/planahead/project_1/project_1.srcs/sources_1 /imports/counter.vhd". Found 17-bit up counter for signal <count_int>. Summary: inferred 1 Counter(s). Unit <test_counter> synthesized. =======

33 HDL Synthesis Report Macro Statistics # Counters : 1 17-bit up counter : 1 ======= ======= * Advanced HDL Synthesis * ======= ======= Advanced HDL Synthesis Report Macro Statistics # Counters : 1 17-bit up counter : 1 ======= ======= * Low Level Synthesis *

34 ======= Optimizing unit <test_counter>... Mapping all equations... Building and optimizing final netlist... Final Macro Processing... ======= Final Register Report Macro Statistics # Registers : 17 Flip-Flops : 17 ======= ======= * Partition Report * ======= Partition Implementation Status

35 No Partitions were found in this design ======= * Final Report * ======= Final Results Top Level Output File Name Output Format Optimization Goal Keep Hierarchy : test_counter.ngc : ngc : speed : no Design Statistics # IOs : 20 Cell Usage : # BELS : 80 # GND : 1 # INV : 2 # LUT1 : 17 # LUT2 : 17 # LUT4 : 4 # MUXCY : 21 # VCC : 1 # XORCY : 17 # FlipFlops/Latches : 17

36 # FDCE : 17 # Clock Buffers : 1 # BUFGP : 1 # IO Buffers : 19 # IBUF : 2 # OBUF : 17 ======= Device utilization summary: Selected Device : 3s500efg320-4 Number of Slices: 22 out of % Number of Slice Flip Flops: 17 out of % Number of 4 input LUTs: 40 out of % Number of IOs: 20 Number of bonded IOBs: 20 out of 232 8% Number of GCLKs: 1 out of 24 4% Partition Resource Summary: No Partitions were found in this design.

37 ======= TIMING REPORT NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT GENERATED AFTER PLACE-and-ROUTE. Clock Information: Clock Signal Clock buffer(ff name) Load clk BUFGP Asynchronous Control Signals Information: Control Signal Buffer(FF name) Load

38 reset_inv(reset_inv1_inv_0:o) NONE(count_int_0) Timing Summary: Speed Grade: -4 Minimum period: 5.606ns (Maximum Frequency: MHz) Minimum input arrival time before clock: 2.824ns Maximum output required time after clock: 4.394ns Maximum combinational path delay: No path found Timing Detail: All values displayed in nanoseconds (ns) ======= Timing constraint: Default period analysis for Clock 'clk' Clock period: 5.606ns (frequency: MHz) Total number of paths / destination ports: 442 / Delay: 5.606ns (Levels of Logic = 18) Source: Destination: Source Clock: count_int_1 (FF) count_int_16 (FF) clk rising

39 Destination Clock: clk rising Data Path: count_int_1 to count_int_16 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) FDCE:C->Q count_int_1 (count_int_1) LUT1:I0->O Mcount_count_int_cy<1>_rt (Mcount_count_int_cy<1>_rt) MUXCY:S->O Mcount_count_int_cy<1> (Mcount_count_int_cy<1>) MUXCY:CI->O Mcount_count_int_cy<2> (Mcount_count_int_cy<2>) MUXCY:CI->O Mcount_count_int_cy<3> (Mcount_count_int_cy<3>) MUXCY:CI->O Mcount_count_int_cy<4> (Mcount_count_int_cy<4>) MUXCY:CI->O Mcount_count_int_cy<5> (Mcount_count_int_cy<5>) MUXCY:CI->O Mcount_count_int_cy<6> (Mcount_count_int_cy<6>) MUXCY:CI->O Mcount_count_int_cy<7> (Mcount_count_int_cy<7>) MUXCY:CI->O Mcount_count_int_cy<8> (Mcount_count_int_cy<8>) MUXCY:CI->O Mcount_count_int_cy<9> (Mcount_count_int_cy<9>) MUXCY:CI->O Mcount_count_int_cy<10> (Mcount_count_int_cy<10>) MUXCY:CI->O Mcount_count_int_cy<11> (Mcount_count_int_cy<11>) MUXCY:CI->O Mcount_count_int_cy<12> (Mcount_count_int_cy<12>)

40 MUXCY:CI->O Mcount_count_int_cy<13> (Mcount_count_int_cy<13>) MUXCY:CI->O Mcount_count_int_cy<14> (Mcount_count_int_cy<14>) MUXCY:CI->O Mcount_count_int_cy<15> (Mcount_count_int_cy<15>) XORCY:CI->O Mcount_count_int_xor<16> (Result<16>) LUT2:I1->O Mcount_count_int_eqn_161 (Mcount_count_int_eqn_16) FDCE:D count_int_ Total route) route) 5.606ns (4.401ns logic, 1.205ns (78.5% logic, 21.5% ======= Timing constraint: Default OFFSET IN BEFORE for Clock 'clk' Total number of paths / destination ports: 17 / Offset: 2.824ns (Levels of Logic = 1) Source: Destination: enable (PAD) count_int_0 (FF) Destination Clock: clk rising Data Path: enable to count_int_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name)

41 IBUF:I->O enable_ibuf (enable_ibuf) FDCE:CE count_int_ Total route) route) 2.824ns (1.773ns logic, 1.051ns (62.8% logic, 37.2% ======= Timing constraint: Default OFFSET OUT AFTER for Clock 'clk' Total number of paths / destination ports: 17 / Offset: 4.394ns (Levels of Logic = 1) Source: Destination: Source Clock: count_int_16 (FF) count<16> (PAD) clk rising Data Path: count_int_16 to count<16> Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) FDCE:C->Q count_int_16 (count_int_16) OBUF:I->O count_16_obuf (count<16>) Total route) 4.394ns (3.863ns logic, 0.531ns

42 route) (87.9% logic, 12.1% ======= Total REAL time to Xst completion: secs Total CPU time to Xst completion: secs --> Total memory usage is kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 0 ( 0 filtered) Number of infos : 0 ( 0 filtered)

43

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Δημήτρης Κωνσταντίνου, Γιώργος Δημητρακόπουλος Εφόσον έχουμε περιγράψει το κύκλωμά μας σε System Verilog θα πρέπει να βεβαιωθούμε πως λειτουργεί

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Εισαγωγή στη σχεδιαστική ροή της Xilinx

Εισαγωγή στη σχεδιαστική ροή της Xilinx Πανεπιστήμιο Κρήτης, Τμήμα Επιστήμης Υπολογιστών HY220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2014-2015 Εισαγωγή στη σχεδιαστική ροή της Xilinx ISE Design Suite 14.7 Στόχοι.. 1. Δημιουργία project

Διαβάστε περισσότερα

VHDL Εισαγωγικές έννοιες

VHDL Εισαγωγικές έννοιες VHDL Εισαγωγικές έννοιες ρ. Κ. Καραφασούλης ckaraf@aegean.gr Σχεδίαση Αρχικά ψηφιακά κυκλώµατα µπορούν να σχεδιασθούν µε το κατάλληλο λογισµικό. Μεγαλύτερα κυκλώµατα µπορούν να σχεδιασθούν ιεραρχικά από

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 VHDL, Χαρακτηριστικά και τρόποι περιγραφής Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Τμήμα Μηχανικών Πληροφορικής ΤΕ http://diceslab.cied.teiwest.gr

Διαβάστε περισσότερα

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ενότητα 3: Καταχωρητές - Απαριθμητές Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 6: Σύγχρονα Ακολουθιακά Κυκλώματα Κυριάκης Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο 2011-2012 Διδάσκων: Γιώργος Ζάγγουλος Βοήθημα για το Πρόγραμμα Modelsim-Altera και την χρησιμοποίηση του μέσα από το Quartus για εκτέλεση

Διαβάστε περισσότερα

ΠΑΡΑΡΤΗΜΑ Β. Verification

ΠΑΡΑΡΤΗΜΑ Β. Verification ΠΑΡΑΡΤΗΜΑ Β Ροή Σχεδίασης και VHDL Ροή Σχεδίασης Πριν περάσουµε σε περιγραφή της γλώσσας VHDL είναι χρήσιµο να δούµε το περιβάλλον και τη ροή της σχεδίασης. Τα βήµατα µιας σχεδίασης βασισµένης σε VHDL

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Η γλώσσα περιγραφής υλικού (harware description language) VHDL είναι μια γλώσσα με την οποία μπορεί

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

Library, package και subprograms

Library, package και subprograms Library, package και subprograms Libraries Packages Subprograms Procedures Functions Overloading Αριθμητικά πακέτα Type conversion Shift operators Παράδειγμα Library - Package Ασκήσεις-Προβλήματα 12/8/2009

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL) Προσοµοίωση Συστηµάτων µε VHDL (Peter Ashenden, The Students Guide to VHDL) Κώδικας VHDL Περιβάλλον Σχεδίασης Αναλυτής ιαχειριστής Βιβλιοθήκης Σχεδίασης Προσοµοιωτής Αντίστροφος Αναλυτής Βιβλιοθήκη Σχεδίασης

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών Θέμα Β.1: Απλός καταχωρητής 1 bit (D Flip-Flop) preset D D Q Q clk clear Σχήμα 2.1: D Flip-Flop με εισόδους preset και clear Με τη

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 4 η Εργαστηριακή Άσκηση Περιγραφή Κυκλωμάτων με Ακολουθιακές Εντολές Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons

Διαβάστε περισσότερα

Μοντελοποίηση Λογικών Κυκλωμάτων

Μοντελοποίηση Λογικών Κυκλωμάτων Μοντελοποίηση Λογικών Κυκλωμάτων Ενότητα 7: Η γλώσσα VHDL, Μοντελοποίηση, διαχείριση χρόνου Τμήμα Εφαρμοσμένης Πληροφορικής Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative

Διαβάστε περισσότερα

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι Εισαγωγή στη VHDL Εισαγωγή Very High Speed Integrated Circuits Hardware Description Language ιαφορές από γλώσσες προγραμματισμού: παράλληλη εκτέλεση εντολών προσδιορισμός

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy)

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Προετοιµασία: Παπαδόπουλος Γιώργος Σούρδης Γιάννης Για το µάθηµα Οργάνωσης Υπολογιστών (ΑΡΥ301), 2002 ΕΙΣΑΓΩΓΗ ΣΤΗ STRUCTURAL VHDL Η VHDL είναι

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL) Ακολουθιακές εντολές (Peter Ashenden, The Students Guide to VHDL) Εντολή If Τα βασικά χαρακτηριστικά της είναι τα εξής: Μπορεί να χρησιµοποιηθεί για τον έλεγχο µίας ή περισσοτέρων συνθηκών. Η πρώτη συνθήκη

Διαβάστε περισσότερα

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Εισαγωγή στη VHDL Υλοποίηση στο Quartus ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκοντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών

Διαβάστε περισσότερα

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe Εργασία στα Ψηφιακά Ηλεκτρονικά Συστήματα 2013-2014 Θέμα: Κατασκευή και Ανάλυση Μετρητή 4-bit και Πλήρους Αθροιστή σε περιβάλλον VHDL Ονοματεπώνυμο: Αλέξανδρος Γεώργιος Μουντογιαννάκης Σχολή: Τμήμα Επιστήμης

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 13 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Structural VHDL. Structural VHDL

Structural VHDL. Structural VHDL Structural VHDL Παράδειγμα Multiplexer Component Component specification Port map command Unconnected outputs Unconnected inputs Generic map command Generate command Configuration Παράδειγμα 4-bit Adder

Διαβάστε περισσότερα

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών n VHDL Processes Περίληψη n Εντολές If-Then-Else και CASE

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα 1. Στόχοι 3

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Περίληψη VHDL Processes Εντολές If-Then Then-Else και CASE Περιγραφή Flip-Flop Flop με VHDL

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL)

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL) Μοντελοποίηση Επιπέδου Πύλης (Peter Ashenden, The Students Guide to VHDL) Πολλαπλά Επίπεδα Τιµών Η κατάσταση µίας γραµµής δεν είναι πάντα 0 ή 1. ιαµάχες οδηγούν σε απροσδιοριστία. Χρήση πολλαπλών επιπέδων

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Μεταφραστές Εισαγωγή Διδάσκων: Επικ. Καθ. Γεώργιος Μανής Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό

Διαβάστε περισσότερα

Lab 2 Manual - Introduction to Xilinx

Lab 2 Manual - Introduction to Xilinx Lab 2 Manual - Introduction to Xilinx Εισαγωγή Σε αυτό το εργαστήριο θα κάνουµε εισαγωγή στην γλωσσά προγραµµατισµού VHDL και εργαλείο Xilinx ISE. ISE είναι το εργαλείο που παρέχεται από Xilinx για να

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Περιγραφή Κυκλωμάτων με χρήση της VHDL Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Οργάνωση Παρουσίασης Περιγραφή Δομής σε VHDL (Structural Description) Μηχανές Πεπερασμένων Καταστάσεων

Διαβάστε περισσότερα

12 o Εργαστήριο Σ.Α.Ε

12 o Εργαστήριο Σ.Α.Ε ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα 12 o Εργαστήριο Σ.Α.Ε Ενότητα: Προσομοίωση Σ.Α.Ε. με SIMULINK Aναστασία Βελώνη Τμήμα Η.Υ.Σ Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Συνδυαστικό Κυκλωμα: Το κύκλωμα του οποίου οι έξοδοι εξαρτώνται αποκλειστικά από τις τρέχουσες εισόδους του. Ακολουθιακό Κύκλωμα: Το κύκλωμα

Διαβάστε περισσότερα

Ψηφιακή Επεξεργασία Σήματος

Ψηφιακή Επεξεργασία Σήματος ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ψηφιακή Επεξεργασία Σήματος Ενότητα Γ: Οδηγίες για την Ανάπτυξη και Εκτέλεση Προγραμμάτων στο Code Composer Studio v.4 Όνομα Καθηγητή:

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Γιώργος Ζάγγουλοςκαι Δρ. Παναγιώτα Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Εισαγωγή στη Verilog με το ISE

Εισαγωγή στη Verilog με το ISE Εισαγωγή στη Verilog με το ISE Πατάμε new project Δίνουμε όνομα και κατάλογο όπου θα αποθηκευτεί το project. Next όπου επιλέγουμε chip και preferred language βάζουμε Verilog Next και στο Create new source

Διαβάστε περισσότερα

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής VHDL Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής Structural (Δομική) Dataflow (Ροής δεδομένων) Behavioral (Συμπεριφοράς) Η VDHL χρησιμοποιείται για την περιγραφή

Διαβάστε περισσότερα

MIPS Interactive Learning Environment. MILE Simulator. Version 1.0. User's Manual

MIPS Interactive Learning Environment. MILE Simulator. Version 1.0. User's Manual MILE Simulator Version 1.0 User's Manual Νοέμβριος, 2011 Περιεχόμενα 1. Εισαγωγή στον προσομοιωτή...2 1.1 Εγκατάσταση...2 1.2 Βοήθεια Διευκρινήσεις...2 2. Ξεκινώντας με τον προσομοιωτή...3 2.1 Το memory

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 216-217 Συντρέχων Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Πρόλογος Συμβολή σε ορισμένα σημεία του περιεχομένου είχαν οι διδάκτορες Γ. Οικονομάκος και Ισ. Σίδερης καθώς και οι μεταπτυχιακοί σπουδαστές Ι. Σιφναίος, Ε. Χανιωτάκης και Κ. Ασφής τους οποίους ευχαριστώ

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Περίγραµµα διάλεξης Υποκυκλώµατα Περιγραφή δοµής στη VHDL Βιβλιοθήκες

Διαβάστε περισσότερα

ΗΥ-225. Verilog HDL. Τα βασικά...

ΗΥ-225. Verilog HDL. Τα βασικά... ΗΥ-225 Verilog HDL. Τα βασικά... Βασική Ροή Σχεδίασης Requirements RTL Model Simulate Synthesize Gate-level Model Simulate Test Bench ASIC or FPGA Place & Route Timing Model Simulate ΗΥ-225 Ιάκωβος Μαυροειδής

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Ενότητα : Εισαγωγή στο εργαλείο προσομοίωσης δικτύων Riverbed Modeler - Προσομοίωση δικτύου Ethernet. Όνομα Καθηγητή : Δημήτριος Λυμπερόπουλος, Σπύρος Δενάζης Τμήμα

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ, ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΚΑΙ ΙΚΤΥΩΝ Τοµέας Υλικού και Αρχιτεκτονικής Υπολογιστών ΗΥ232 - Ψηφιακή Σχεδίαση µε CAD ΙΙ Design Flow Simulation - Synthesis

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 9 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Ακολουθιακή λογική, καταχωρητές και flip-flops Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 7: Ακολουθιακή Λογική Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ Εισαγωγή... 19

Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ Εισαγωγή... 19 Περιεχόµενα Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ... 17 1 Εισαγωγή... 19 1.1 Σχετικά µε τη VHDL...19 1.2 Ροή σχεδιασµού...20 1.3 Εργαλεία EDA...21 1.4 Μεταγλώττιση από κώδικα VHDL σε κύκλωµα...22

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 12 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Αγαθοκλής Παπαδόπουλος και Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Χρησιμοποιούμε τις μηχανές πεπερασμένων καταστάσεων (finite state machines FSMs) για την μοντελοποίηση της συμπεριφοράς ενός κυκλώματος, η

Διαβάστε περισσότερα

Εισαγωγή στο περιβάλλον Code::Blocks

Εισαγωγή στο περιβάλλον Code::Blocks Εισαγωγή στο περιβάλλον Code::Blocks Στο παρόν εγχειρίδιο παρουσιάζεται η διαδικασία ανάπτυξης, μεταγλώττισης και εκτέλεσης ενός προγράμματος C στο περιβάλλον του Code::Blocks. Η διαδικασία αυτή παρουσιάζεται

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα

Multi Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

Multi Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης Αρχιτεκτονική Υπολογιστών 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: 2014-2015 Νεκ. Κοζύρης nkoziris@cslab.ece.ntua.gr Multi Cycle Datapath http://www.cslab.ece.ntua.gr/courses/comparch/ Άδεια Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C Στο εργαστήριο αυτό, θα ασχοληθούμε με δύο προγραμματιστικά περιβάλλοντα για τη γλώσσα C: τον gcc μεταγλωττιστή της C σε περιβάλλον

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 1

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 1 Σε αυτή την εισαγωγική εργαστηριακή άσκηση θα δούμε αναλυτικά τη χρήση του εργαλείου Vivado IDE της Xilinx για τη δημιουργία ενός απλού κυκλώματος δυαδικού μετρητή με χρήση της VHDL και την υλοποίηση του

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Υλοποίηση ΥΛΟΠΟΙΗΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΔΙΑΚΡΙΤΑ ΣΤΟΙΧΕΙΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΑΝΑΔΙΑΜΟΡΦΩΣΙΜΟ ΥΛΙΚΟ Ο.Κ. ΕΙΔΙΚΟΥ ΣΚΟΠΟΥ (VLSI) FULL CUSTOM (Reconfigurable

Διαβάστε περισσότερα

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στην Σχεδίαση Συστημάτων VLSI Θέματα χρονισμού

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Προχωρημένα Θέματα Σχεδιασμού με VHDL Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Φεβ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 3 -i: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Περίληψη Αρχές σχεδιασµού Ιεραρχία σχεδιασµού Σχεδιασµός

Διαβάστε περισσότερα

Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες

Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες ηλεκτρονικές διατάξεις(fpga) Η χρήση της πλατφόρμας Altera DE-nano και του εργαλείου σχεδίασης Quartus-II

Διαβάστε περισσότερα

Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ

Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΣΗΜΑΤΩΝ, ΕΛΕΓΧΟΥ ΚΑΙ ΡΟΜΠΟΤΙΚΗΣ Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ

Διαβάστε περισσότερα

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ. ΤΕΙ ΚΡΗΤΗΣ / ΠΑΡΑΡΤΗΜΑ ΧΑΝΙΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ mode mode(0) ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ Εµµανουήλ Καπαρού Επιβλέπων : ρ Μηχ Νικόλαος

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Ενότητα : Τοπικά δίκτυα και μεταγωγείς - Προσομοίωση με χρήση εργαλείου Riverbed Modeler. Όνομα Καθηγητή : Δημήτριος Λυμπερόπουλος, Σπύρος Δενάζης Τμήμα : Ηλεκτρολόγων

Διαβάστε περισσότερα

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο Εργαστηριακή Άσκηση 7: Κβάντιση και Κωδικοποίηση Σημάτων Προσομοίωση σε Η/Υ Δρ. Ηρακλής

Διαβάστε περισσότερα

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι Περιγραφή Κυκλωμάτων με χρήση της VHDL Καταχωρητές και χρονισμός με ρολόι Οργάνωση Παρουσίασης Διεργασίες (κανόνες) Ακολουθιακές Δηλώσεις (Sequential Statements) Καταχωρητές και χρονισμός Συμπληρωματική

Διαβάστε περισσότερα

Οδηγίες για την εγκατάσταση του πακέτου Cygwin

Οδηγίες για την εγκατάσταση του πακέτου Cygwin Οδηγίες για την εγκατάσταση του πακέτου Cygwin Ακολουθήστε τις οδηγίες που περιγράφονται σε αυτό το file μόνο αν έχετε κάποιο laptop ή desktop PC που τρέχουν κάποιο version των Microsoft Windows. 1) Copy

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Πανεπιστήμιο Πατρών Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων (VLSI) ΙΙ Εισαγωγή στη VHDL και το Εργαλείο

Διαβάστε περισσότερα

Ενςωματωμένα Συςτήματα Υλοποίηςη του SDES ςε Hardware

Ενςωματωμένα Συςτήματα Υλοποίηςη του SDES ςε Hardware Ενςωματωμένα Συςτήματα Υλοποίηςη του SDES ςε Hardware June 1 2012 Κεχαγιάσ Απόςτολοσ ΑΕΜ:134 Table of Contents O αλγόριθμοσ... 2 Υλοποίηςη ςε Hardware... 7 Xρονιςμόσ ςημάτων VGA... 12 Επαλήθευςη... 14

Διαβάστε περισσότερα

Κεντρική Μονάδα Επεξεργασίας

Κεντρική Μονάδα Επεξεργασίας Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κεντρική Μονάδα Επεξεργασίας (Σχεδιασμός και λειτουργία μιας απλής ΚΜΕ) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης

Διαβάστε περισσότερα