ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 1

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 1"

Transcript

1 Σε αυτή την εισαγωγική εργαστηριακή άσκηση θα δούμε αναλυτικά τη χρήση του εργαλείου Vivado IDE της Xilinx για τη δημιουργία ενός απλού κυκλώματος δυαδικού μετρητή με χρήση της VHDL και την υλοποίηση του στην αναπτυξιακή κάρτα ZedBoard. Θα δούμε αναλυτικά τα βήματα της προσομοίωσης, του ορισμού περιορισμών (constraints), της σύνθεσης και της υλοποίησης (implementation) του σχεδίου χρησιμοποιώντας τις προκαθορισμένες ρυθμίσεις και της ανάλυσης των αποτελεσμάτων σε κάθε βήμα. Τέλος, θα δούμε πως παράγεται το bitstream ώστε να μπορεί να «κατέβει» το σχέδιο στο υλικό (FPGA device) για να γίνει η επαλήθευση της λειτουργίας του. Στόχοι Με την ολοκλήρωση του εργαστηρίου θα είστε σε θέση να: Δημιουργείτε ένα project στο Vivado, να ορίζετε τα αρχεία HDL που περιγράφουν το μοντέλο του κυκλώματος και να ορίζετε ως target, το ZYNQ FPGA που φιλοξενείται στην κάρτα ZedBoard. Χρησιμοποιείτε το αρχείο Xilinx Design Constraint (XDC) για να ορίζετε τα constraints που αφορούν τις θέσεις των pin του FPGA και timing constraints Προσομοιώνετε το σχέδιο με τον simulator του Vivado Kάνετε σύνθεση (synthesis) και υλοποίηση (implementation) του σχεδίου VHDL Αναλύετε τα αποτελέσματα Παράγετε το αρχείο προγραμματισμού (bitstream) του FPGA Προγραμματίζετε το ZYNQ FPGA χρησιμοποιώντας το αρχείο bitstream

2 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Περιγραφή του σχεδίου Το κύκλωμα που θα αρχικά θα ξεκινήσετε είναι ένας απλός, δυαδικός μετρητός των 4-bit. Επειδή το clk του συστήματος δίδεται από τον εξωτερικό κρύσταλλο 100MHz που διαθέτει η κάρτα, για να μπορέσετε να επαληθεύσετε την ορθή λειτουργία του μετρητή οπτικά από τα διαθέσιμα LEDs μετά τον προγραμματισμό του FPGA, θα πρέπει ο μετρητής να δέχεται ένα σήμα enable με χαμηλή συχνότητα. Σε πρώτη φάση, το σήμα enable αυτό θα παράγεται εσωτερικά από το ίδιο το σύστημα από τη μονάδα Enable_gen. Η μονάδα Enable_gen είναι μια γεννήτρια παλμών (pulse generator) που δίνει 1 παλμό enable μετά από συγκεκριμένο αριθμό κύκλων (ticks). Ο αριθμός των ticks αυτός δίδεται ως VHDL generic έτσι ώστε αφενός να μπορέσετε να προσομοιώσετε την ορθή λειτουργία του συστήματος θέτοντας τον αριθμό των ticks να είναι σχετικά μικρός (πχ. 10), αφετέρου να παράγετε σήμα enable με συχνότητα περίπου 1Hz θέτοντας τον αριθμό των ticks να είναι αντίστοιχα μεγάλος (πχ. 100,000,000). Ενναλακτικά, σύστημα μπορεί να δέχεται το σήμα enable εξωτερικά από τον χρήστη με την χρήση ενός Push Button (BTNC) της κάρτας με κατάλληλα κυκλώματα αποκλειδωνισμού (debouncing) και ανίχνευσης ανερχόμενης ακμής (edge detection). Το αρχικό σύστημα παρουσιάζεται παρακάτω ενώ ο πηγαίος κώδικας βρίσκεται στο e-class. System Clk 100MHz Reset Counter enable Enable_gen q[3:0] Εικόνα 1. Περιγραφή του βασικού συστήματος που περιέχει τον δυαδικό μετρητή Η γενική ροή σχεδίασης που θα ακολουθήσετε φαίνεται στο παρακάτω σχήμα. Βήμα 1: Δημιουργία ενός Vivado Project χρησιμοποιώντας το IDE Βήμα 2: Προσομοίωση του σχεδίου με το Vivado Simulator Βήμα 3: Σύνθεση του σχεδίου Βήμα 4: Υλοποίηση του σχεδίου Βήμα 5: Χρονική Προσομοίωση (Timing Simulation) Βήμα 6: Επαλήθευση του λειτουργίας στο υλικό Εικόνα 2. Γενική ροή σχεδίασης 2

3 Δημιουργία ενός Vivado Project με το IDE Βήμα Ανοίξτε το Vivado και δημιουργείστε ένα project έχοντας ως target το device XC7Z020clg484-1 και χρησιμοποιώντας την VHDL. Χρησιμοποιήστε τον πηγαίο κώδικα που σας παρέχεται και το αρχείο lab1.xdc Ανοίξτε το Vivado επιλέγοντας Start > All Programs > Xilinx Design Tools > Vivado > Vivado Πατήστε Create New Project για να ξεκινήσετε τον wizard. Θα δείτε ένα κουτί διαλόγου Create A New Vivado Project. Πατήστε Next Πατήστε το κουμπί Browse του πεδίου Project location της φόρμας New Project, και κάνετε browse στο φάκελο που θα τοποθετήσετε το project σας (πχ. d:\adsdlabs) και πατήστε Select Γράψτε lab1 στο πεδίο Project name. Βεβαιωθείτε ότι το κουτί Create Project Subdirectory box είναι επιλεγμένο. Πατήστε Next. Εικόνα 3. Εισαγωγή Project Name και Project Location Επιλέξτε την επιλογή RTL Project στην φόρμα Project Type, και επιλέξτε Next Χρησιμοποιώντας τα κουμπιά drop-down, επιλέξτε VHDL ως Target Language και Simulator Language στην φόρμα Add Sources. 3

4 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Εικόνα 4. Επιλογή Target και Simulator language Πατήστε το Πράσινο Κουμπί + και μετά Add Files και επιλέξτε τα αρχεία counter.vhd, enable_gen.vhd και system.vhd μετά πατήστε OK. Εάν δεν είναι ήδη επιλεγμένο, επιλέξτε Copy sources into project και μετά πατήστε Next για να πάτε στη φόρμα Add Existing IP Εφόσον δεν έχουμε να προσθέσουμε κάποιο πυρήνα IP (IP Core), επιλέξτε Next για να μεταβείτε στην φόρμα Add Constraints Πατήστε το Πράσινο Κουμπί +, και μετά Add Files πηγαίνετε στον κατάλληλο φάκελο και επιλέξτε το αρχείο lab1.xdc, και πατήστε OK (εάν είναι απαραίτητο). Εάν δεν είναι ήδη επιλεγμένο, επιλέξτε Copy constraints files into project και μετά πατήστε Next. Αυτό το αρχείο Xilinx Design Constraints file αναθέτει τα Ι/Ο Pins του FPGA στους διακόπτες και τα LEDs της αναπτυξιακής κάρτας. Αυτή την πληροφορία μπορείτε να την πάρετε είτε από το σχηματικό διάγραμμα είτε από το user guide της αναπτυξιακής κάρτας Στη φόρμα Default Part, χρησιμοποιήστε την επιλογή Parts και τα διάφορα πεδία drop-down της επιλογής Filter. Για την ZedBoard, επιλέξτε το part XC7Z020clg

5 Εικόνα 5. Επιλογή Part (FPGA device) για την αναπτυξιακή κάρτα ZedBoard Μπορείτε επίσης να επιλέξετε την επιλογή Boards, επιλέξτε em.avnet.com στο μενού του φίλτρου Vendor και επιλέξτε την κατάλληλη αναπτυξιακή κάρτα (ZedBoard) Επιλέξτε Next. Figure 6. Επιλογή της αναπτυξιακής κάρτας Πατήστε Finish για να δημιουργήσετε το Vivado project. Χρησιμοποιήστε το Windows Explorer και κοιτάξτε στο φάκελο που ορίσατε το project (πχ d:\adsd\lab1). Θα βρείτε ότι έχουν δημιουργηθεί οι φάκελοι lab1.cache και lab1.srcs και το αρχείο project lab1.xpr (Vivado). Ο φάκελος lab1.cache είναι το μέρος που αποθηκεύεται το Vivado program database. Δύο φάκελοι constrs_1 και sources_1, έχουν δημιουργηθεί μέσα στον φάκελο lab1.srcs. Βαθιά μέσα τους έχουν αντιγραφεί τα αρχεία πηγαίου κώδικα lab1.xdc (constraint) και τα αντίστοιχα αρχεία.vhd (sources). 5

6 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ 1-2. Ανοίξτε τα αρχεία πηγαίου κώδικα *.vhd και μελετήστε τα περιεχόμενά τους Στο παράθυρο Sources, κάντε double-click στο αρχεία.vhd για ανοίξετε το αρχεία σε text mode. Εικόνα 7. Ανοίγοντας τον πηγαίο κώδικα VHDL 1-3. Ανοίξτε το αρχείο πηγαίου κώδικα lab1.xdc και μελετήστε το περιεχόμενό του Στο παράθυρο Sources, επεκτείνετε το φάκελο Constraints και κάντε double-click στο αρχείο lab1.xdc για να ανοίξετε το αρχείο σε text mode. Εικόνα 8. Ανοίγοντας το constraint file 6

7 ######################################################## # ZedBoard Pin Assignments ######################################################## # Clk - Zedboard 100MHz oscillator set_property -dict { PACKAGE_PIN Y9 IOSTANDARD LVCMOS33 } [get_ports {clk}] # Reset - BTNU set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33} [get_ports {rst}] # On-board led set_property -dict { PACKAGE_PIN U21 IOSTANDARD LVCMOS33} [get_ports {q[3]}] set_property -dict { PACKAGE_PIN U22 IOSTANDARD LVCMOS33} [get_ports {q[2]}] set_property -dict { PACKAGE_PIN T21 IOSTANDARD LVCMOS33} [get_ports {q[1]}] set_property -dict { PACKAGE_PIN T22 IOSTANDARD LVCMOS33} [get_ports {q[0]}] ######################################################## ##ZedBoard Timing Constraints ######################################################## # define clock and period create_clock -period 10 -name clk -waveform { } [get_ports clk] # Global timing constraints set_input_delay -clock clk [get_ports { rst }] set_input_delay -clock clk -min [get_ports { rst }] set_output_delay -clock clk [all_outputs] Ο κώδικας στο αρχείο lab1.xdc ορίζει τα constraints για τις θέσεις των pin για τις εισόδους και τις εξόδους, την περίοδο ρολογιού (10 ns), καθώς επίσης input και output delays Εκτέλεση ανάλυσης RTL στον πηγαίο κώδικα Επεκτείνετε το Open Elaborated Design του RTL Analysis task στο παράθυρο Flow Navigator και πατήστε το Schematic. Το μοντέλο του σχεδίου θα γίνει elaborate και μια παρουσίαση του σχεδίου σε επίπεδο συστήματος θα εμφανιστεί στο παράθυρο schematic. Εικόνα 9. Το σχηματικό διάγραμμα του σχεδίου (system level) 7

8 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Εάν πατήσετε στα (+) μπορείτε να δείτε το σχέδιο σε RTL για τον μετρητή και τον enable generator. Εικόνα 10. Το σχηματικό διάγραμμα του δυαδικού μετρητή Εικόνα 11. Το σχηματικό διάγραμμα του enable generator 8

9 Προσομοίωση του Σχεδίου με τον Vivado Simulator Βήμα Προσθέστε το αρχείο testbench system_tb.vhd Πατήστε Add Sources στο Project Manager task του παραθύρου Flow Navigator Εικόνα 12. Προσθήκη πηγαίου κώδικα Επιλέξτε την επιλογή Add or Create Simulation Sources (προσοχή!) και πατήστε Next. Εικόνα 13. Επιλογή πηγαίου κώδικα για προσομοίωση Στην φόρμα Add Sources, πατήστε το Πράσινο Κουμπί +, και στη συνέχεια Add Files Επιλέξτε τον κατάλληλο φάκελο όπου υπάρχει το αρχείο testbench system_tb.vhd, επιλέξτε το και πατήστε OK. Εάν δεν είναι ήδη επιλεγμένο, επιλέξτε Copy sources into project Πατήστε το Finish Επιλέξτε την καρτέλα Sources και επεκτείνετε την ομάδα Simulation Sources. Το αρχείο system_tb.vhd έχει προστεθεί στην ομάδα Simulation Sources και το αρχείο system.vhd αυτόματα τοποθετείται στην ιεραρχία ως uut (unit under test) instance. 9

10 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Εικόνα 14. Ιεραρχία Simulation Sources Κάντε double-click στο system_tb στο παράθυρο Sources για να μελετήσετε τα περιεχόμενά του Προσομοίωση του σχεδίου για 1000 ns χρησιμοποιώντας το Vivado simulator Επιλέξτε το Simulation Settings από τα Project Manager tasks του παραθύρου Flow Navigator. Η φόρμα Project Settings θα εμφανιστεί δείχνοντας την φόρμα με τις παραμέτρους του Simulation Επιλέξτε την καρτέλα Simulation, θέστε το Simulation Run Time στα 1000 ns και πατήστε OK. Εικόνα 15. Ορισμός της διάρκειας της προσομοίωσης (simulation run time) 10

11 Πατήστε στο Run Simulation > Run Behavioral Simulation που βρίσκεται στα Project Manager tasks του παραθύρου Flow Navigator. Το testbench και τα αρχεία του πηγαίου κώδικα θα γίνουν compiled και θα τρέξει ο Vivado simulator (εφόσον βέβαια δεν υπάρχουν σφάλματα). Θα δείτε την έξοδο του simulator που είναι παρόμοια με την παρακάτω. Θα δείτε τέσσερα κύρια παράθυρα: Εικόνα 16. Έξοδος του Simulator (i) (ii) (iii) (iv) Scopes, όπου παρουσιάζεται η ιεραρχία του testbench καθώς και τα instantiations, (ii) Objects, όπου εμφανίζονται τα top-level σήματα της ιεραρχίας, (iii) το παράθυρο με τις κυματομορφές, και Console, όπου εμφανίζονται οι ενέργειες του. Παρατηρείστε ότι μέσα στον φάκελο lab1 έχει δημιουργηθεί ο φάκελος lab1.sim, μαζί με πολλούς άλλους φακέλους σε χαμηλότερο επίπεδο. Δίπλα στο παράθυρο με τις κυματομορφές, θα δείτε διάφορα κουμπιά που μπορούν να χρησιμοποιηθούν για συγκεκριμένο σκοπό όπως φαίνονται στο παρακάτω πίνακα. 11

12 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Waveform options Save the waveform Zoom In Zoom Out Zoom Fit Zoom to cursor Go to Time 0 Go to Last Time Previous Transition Next Transition Add Marker Previous Marker Next Marker Swap Cursors Snap to Transition Floating Ruler Πίνακας 1: Διάφορα κουμπιά διαθέσιμα για την εμφάνιση των κυματομορφών Πατήστε το κουμπί Zoom Fit ( ) για να δείτε όλη την κυματομορφή. Μπορείτε επίσης να ανοίξετε τις κυματομορφές σε ένα νέο μεγάλο παράθυρο πατώντας το κουμπί Float που βρίσκεται στο πάνω δεξιά μέρος. Για να επαναφέρετε το floating παράθυρο πίσω στο GUO, απλά πατήστε στο κουμπί Dock Window. Εικόνα 17. Κουμπί Float Εικόνα 18. Κουμπί Dock Window 2-3. Αλλάξτε το format της παρουσίασης εάν το επιθυμείτε Πατώντας δεξί click στο σήμα q[3:0] που περιγράφει δίαυλο, επιλέξτε Radix, και στη συνέχεια επιλέξτε Unsigned decimal για να βλέπετε τις εισόδους στο δεκαδικό αντί του προεπιλεγμένου δεκαεξαδικού (Hexadecimal). 12

13 Εικόνα 19. Παράθυρο κυματομορφής 2-4. Προσθέστε περισσότερα σήματα για να παρακολουθήσετε τα σήματα χαμηλότερου επιπέδου και για να συνεχίσετε την προσομοίωση για άλλα 1000 ns Επεκτείνετε το instance system_tb, εάν είναι απαραίτητο, στο παράθυρο Scopes και επιλέξτε το instance uut instance. Το εσωτερικό σήμα του enable θα εμφανιστεί στο παράθυρο Objects. Εικόνα 20. Επιλογή σημάτων χαμηλότερου επιπέδου από το instance uut Επιλέξτε το σήμα enable και κάντε drag στο παράθυρο με τις κυματομορφές για να το παρακολουθήσετε και αυτό το σήμα επιπέδου. Παρόμοια, επιλέξτε το instance en_gen, και επιλέξτε το εσωτερικό σήμα counter για να το κάνετε drag στο παράθυρο με τις κυματομορφές. Εικόνα 21. Επιλογή σημάτων χαμηλότερου επιπέδου από το en_gen 13

14 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Στο ribbon bar του παραθύρου με τα εργαλεία της προσομοίωσης πληκτρολογήστε 1000 στο πεδίο simulation run time, πατήστε click στο drop-down κουμπί του πεδίου με τις μονάδες και επιλέξτε ns εφόσον θέλουμε να τρέξει η προσομοίωση για 1000 ns (συνολικά 2000 ns) και πατήστε το κουμπί. Η προσομοίωση θα τρέξει για επιπλέον 1000 ns Στο ribbon bar του παραθύρου με τα εργαλεία της προσομοίωσης πατήστε το βελάκι Restart για να ξανατρέξετε την προσομοίωση με όλα τα σήματα του waveform από την αρχή Πατήστε στο κουμπί Zoom Fit ή μετακινήστε το roll bar στο κάτω μέρος της οθόνης και παρατηρείστε την έξοδο. Εικόνα 22. Εκτέλεση προσομοίωσης για επιπλέον 2000 ns Μπορείτε να παρατηρήσετε το παράθυρο Tcl Console για να δείτε την έξοδο. Επιβεβαιώστε την ορθή λειτουργία του συστήματος (enable generator και μετρητή) Κλείστε τον simulator επιλέγοντας File > Close Simulation Πατήστε OK και στην συνέχεια πατήστε Discard για να κλείσει χωρίς να σώσετε την κυματομορφή. 14

15 Σύνθεση του Σχεδίου Βήμα Κάντε σύνθεση στο σχέδιο με το εργαλείο σύνθεσης του Vivado και αναλύστε την έξοδο Project Summary Πατήστε στο Run Synthesis που βρίσκεται στα Synthesis tasks του παραθύρου Flow Navigator. Η διαδικασία της σύνθεσης θα εκτελεστεί στο αρχείο system.vhd (και σε όλα τα αρχεία της ιεραρχίας εφόσον υπάρχουν). Όταν η διαδικασία ολοκληρωθεί, θα εμφανιστεί ένα κουτί διαλόγου Synthesis Completed dialog box με τρεις επιλογές Επιλέξτε την επιλογή Open Synthesized Design και πατήστε OK εφόσον επιθυμούμε να δούμε την έξοδο της σύνθεσης πριν προχωρήσουμε στο στάδιο της υλοποίησης (implementation). Πατήστε Yes για να κλείσετε το elaborated design εάν εμφανιστεί το παράθυρο διαλόγου Επιλέξτε την καρτέλα Project Summary και μελετήστε τα διάφορα παράθυρα. Εάν δεν βλέπετε την καρτέλα Project Summary τότε επιλέξτε Layout > Default Layout, ή πατήστε στο εικονίδιο Project Summary. Device, Project name, Top module name Ολοκλήρωση σύνθεσης Το implementation δεν έχει ξεκινήσει Το utilization σε γραφικά Επιλογή γραφικών ή πίνακα Εικόνα 23. Project Summary για τα αποτελέσματα της σύνθεσης Πατήστε στα διάφορα links για να δείτε τι πληροφορία παρέχουν και ποια επιτρέπουν να αλλάξετε τις ρυθμίσεις της σύνθεσης. 15

16 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Πατήστε στην καρτέλα Table που βρίσκεται στην καρτέλα Project Summary. Παρατηρήστε ότι κατ εκτίμηση έχει χρησιμοποιηθεί 49 LUTs, 32 FFs, 6 IOs (2 είσοδοι και 4 έξοδοι) και 1 BUFG (global clock buffer primitive). Εικόνα 24. Εκτίμηση χρήσης πόρων για το FPGA του ZedBoard Στο Flow Navigator, μέσα στο Synthesis (επεκτείνετε το Synthesized Design εάν είναι απαραίτητο), πατήστε το Schematic για να δείτε το synthesized design του συστήματος σε σχηματική αναπαράσταση. Εικόνα 25. Σχηματική αναπαράσταση του synthesized design (system level) Παρατηρείστε ότι έχουν αυτόματα προστεθεί IBUFs, OBUFs και BUFG primitives στο σχέδιο. 16

17 Πατήστε στο (+) του cntr για να δείτε το σχηματικό διάγραμμα του δυαδικού μετρητή. Οι λογικές πύλες στην έξοδο της ανάλυσης RTL έχουν γίνει mapped σε LUTs στην έξοδο της σύνθεσης. Εικόνα 26. Σχηματική αναπαράσταση του synthesized design (δυαδικός μετρητής) 17

18 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ 3-2. Ανάλυση του Timing report Πατήστε στο Report Timing Summary που βρίσκεται ανάμεσα στα Synthesized Design Tasks, του Synthesis του Flow Navigator. Στο synthesized σχέδιο, το Vivado κάνει μια εκτίμηση των net delays βάσει των συνδέσεων και του fanout. Σε ένα implemented σχέδιο, τα net delays βασίζονται στην πραγματική πληροφορία του routing. Εάν θέλετε να χρησιμοποιήσετε το Timing Summary report για timing signoff, τότε θα πρέπει να εκτελεστεί μετά το routing. Εικόνα 27. Επιλογές για το report του Timing Summary Η επιλογή Path delay type επιλέγει τον τύπο της ανάλυσης που θα τρέξει. Για τα synthesized designs το default αφορά μόνο το max delay analysis. Για τα implemented designs και το min και το max delay analysis γίνεται από default Πατήστε OK για να παραχθεί το Timing_1 report. Εικόνα 28. Timing report μετά τη Σύνθεση Παρατηρείστε ότι το Design Timing Summary έχει κόκκινες ενδείξεις που σημαίνουν timing violations. Στο δεξί παράθυρο η πληροφορία είναι ομαδοποιημένη σε στήλες Setup, Hold και Pulse Width. 18

19 Στη στήλη Setup παρουσιάζονται όλοι οι έλεγχοι που αφορούν το max delay analysis. To Worst Negative Slack (WNS) είναι μια τιμή (με link) που αντιστοιχεί στο χειρότερο slack από όλα τα timing paths του max delay analysis. Μπορεί να είναι θετικό η αρνητικό. Το Total Negative Slack (TNS) είναι το άθροισμα όλων των WNS violations, όταν θεωρούμε μόνο το χειρότερο violation για κάθε timing path endpoint. Η τιμή είναι 0 ns όταν όλα τα timing constraints για το max delay analysis έχουν ικανοποιηθεί και αρνητική όταν υπάρχουν κάποια violations. Το Number of Failing Endpoints αφορά τον συνολικό αριθμό των endpoints που έχουν violation (WNS<0 ns) Το Total Number of Endpoints αφορά τον συνολικό αριθμό των end points που έχουν αναλυθεί. Στη στήλη Hold παρουσιάζονται όλοι οι έλεγχοι που αφορούν το min delay analysis. To Worst Hold Slack (WHS) είναι μια τιμή (με link) που αντιστοιχεί στο χειρότερο slack από όλα τα timing paths του min delay analysis. Μπορεί να είναι θετικό η αρνητικό. Το Total Hold Slack (THS) είναι το άθροισμα όλων των WHS violations, όταν θεωρούμε μόνο το χειρότερο violation για κάθε timing path endpoint. Η τιμή είναι 0 ns όταν όλα τα timing constraints για το min delay analysis έχουν ικανοποιηθεί και αρνητική όταν υπάρχουν κάποια violations. Το Number of Failing Endpoints αφορά τον συνολικό αριθμό των endpoints που έχουν violation (WΗS<0 ns) Το Total Number of Endpoints αφορά τον συνολικό αριθμό των end points που έχουν αναλυθεί Πατήστε στο WNS link και δείτε τα 10 χειρότερα paths (δηλαδή με το μικρότερο θετικό slack αφού δεν αφορούν violations). Εικόνα 29. Τα 10 χειρότερα paths (setup) με το μικρότερο θετικό slack μετά τη σύνθεση 19

20 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Πατήστε στο Path 1 που αφορά clock-to-out output port delay για να το δείτε αναλυτικά. Εικόνα 30. Το path με το μικρότερο θετικό slack (setup) μετά τη σύνθεση 20

21 Πατήστε στο Path 5 (που αφορά την περίοδο ρολογιού) για να το δείτε αναλυτικά. Εικόνα 31. Το Path 5 (critical path) (setup) μετά τη σύνθεση 21

22 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Σημειώστε ότι οι παραπάνω καθυστερήσεις αποτελούν εκτίμηση αφού αφορούν το synthesized design. Τα nets φαίνονται ότι είναι unplaced. Στο header μεταξύ άλλων υπάρχει η εξής πληροφορία: Slack: Ένα θετικό slack δείχνει ότι το path πληροί τα constraints. Η εξίσωση του slack εξαρτάται από το είδος της ανάλυσης που εκτελείται. Max delay analysis (setup/recovery): slack = data required time data arrival time Min delay analysis (hold/removal): slack = data arrival time data required time Οι χρόνοι data required και data arrival υπολογίζονται και παρουσιάζονται σε άλλα τμήματα του timing path report. Data path Delay: Το άθροισμα μέσα από τους πόρους λογικής (logic) του path. Logic Levels: Ο αριθμός από κάθε τύπο primitive που βρίσκονται στο data section του path, χωρίς να υπολογίζονται τα startpoint και endpoint cells. Clock Path Skew: Η καθυστέρηση μεταξύ της ακμής του source clock και του destination clock Μετά το header, το timing path report παρέχει περισσότερες πληροφορίες για τα cells, τα pins, τα ports και τα nets που διασχίζει το path. Χωρίζεται σε 3 μέρη: Source Clock Path: Το κύκλωμα που διασχίζει το source clock από την πηγή του μέχρι το clock pin στο σημείο εκκίνησης στο datapath. Data Path: Το κύκλωμα που διασχίζουν τα δεδομένα από το σημείο εκκίνησης μέχρι το σημείο προορισμού. Destination Clock Path: Το κύκλωμα που διασχίζει το destination clock από σημείο εκκίνησης του μέχρι το clock pin στο σημείο προορισμού στο datapath Πατήστε δεξί click στο Path 5 και επιλέξτε Schematic για να το δείτε στο σχηματικό διάγραμμα. Εικόνα 32. Το Path 5 (critical path) μετά τη σύνθεση στο σχηματικό διάγραμμα Χρησιμοποιώντας τον Windows Explorer, επαληθεύστε ότι έχει δημιουργηθεί ο φάκελος lab1.runs μέσα στον φάκελο lab1. Μέσα στον φάκελο runs, έχει δημιουργηθεί ο φάκελος synth_1 που περιέχει διάφορα αρχεία σχετικά με την σύνθεση. 22

23 Implementation του Σχεδίου Βήμα Προχωρήστε στο implementation του σχεδίου με τις προκαθορισμένες ρυθμίσεις του Vivado Implementation και αναλύστε την έξοδο Project Summary Πατήστε Run Implementation που βρίσκεται στα Implementation tasks του παραθύρου Flow Navigator. Η διαδικασία του implementation θα εκτελεστεί στο synthesized design. Όταν η διαδικασία ολοκληρωθεί, θα εμφανιστεί ένα κουτί διαλόγου Implementation Completed με τρεις επιλογές Επιλέξτε το Open implemented design και πατήστε OK αφού θέλουμε να δούμε το implemented design στην καρτέλα Device view Εάν το implementation αποτύχει να ικανοποιήσει τα timing constraints θα βγάλει ένα μήνυμα όπως το αυτό στην εικόνα που ακολουθεί. Πατήστε OK για να συνεχίσετε. Εικόνα 33. Μήνυμα αποτυχίας στα timing requirements Πατήστε Yes, εφόσον σας ζητηθεί, για να κλείσετε το synthesized design. Το implemented design θα ανοίξει. Χρησιμοποιώντας τον Windows Explorer, επαληθεύστε ότι ο φάκελος impl_1 έχει δημιουργηθεί στο ίδιο επίπεδο με το synth_1 μέσα στο φάκελο lab1.runs. Ο φάκελος impl_1 περιέχει αρκετά αρχεία συμπεριλαμβανομένων και των αρχείων report του implementation Στο παράθυρο Netlist, επιλέξτε ένα από τα nets (π.χ. q_οbuf[0]) και παρατηρείστε ότι το net εμφανίζεται στο X1Y0 clock region στην καρτέλα Device view (μπορεί να χρειαστεί να κάνετε zoom) Εάν δεν είναι επιλεγμένο, πατήστε στο εικονίδιο Routing Resources για να δείτε τα routing resources. 23

24 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Εικόνα 34. Επιλογή ενός net Εικόνα 35. Βλέποντας το implemented design Εάν επιθυμείτε μπορείτε να μεγεθύνετε όσο επιθυμείτε τον όψη του implemented design για να δείτε λεπτομέρειες (Slices LUTs κλπ.) Εικόνα 36. Βλέποντας τμήμα του implemented design (SLICE_X113Y46) σε μεγέθυνση 24

25 Κλείστε το implemented design επιλέγοντας File > Close Implemented Design, και επιλέξτε την καρτέλα Project Summary (μπορεί να χρειαστεί να αλλάξετε στην όψη Default Layout) και παρατηρείστε τα αποτελέσματα. Επιλέξτε την καρτέλα Post-Implementation. Παρατηρείστε ότι το πραγματικό resource utilization είναι 23 LUTs (παρατηρείστε ότι είναι λιγότερα από αυτά της σύνθεσης), 32 FFs, 6 IOs και 1 BUFG. Επίσης παρατηρήστε ότι δεν ικανοποιείται το timing constraint (WNS, TNS αρνητικά και κόκκινα). Εικόνα 37. Project Summary για τα αποτελέσματα του implementation 25

26 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ 4-2. Ανάλυση του Timing report Πατήστε στο Report Timing Summary που βρίσκεται ανάμεσα στα Implemented Design Tasks, του Implementation του Flow Navigator. Εικόνα 38. Επιλογές για το report του Timing Summary Ενεργοποιείστε την επιλογή «Report Datasheet Πατήστε OK για να παραχθεί το Timing_1 report. Εικόνα 39. Timing report μετά το Implementation Παρατηρείστε ότι μετά το implementation, το Design Timing Summary έχει και πάλι timing violations άλλα διαφορετικά από αυτά της σύνθεσης. Πλέον, το Worst Negative Slack (WNS) έγινε αρνητικό ενώ το Worst Hold Slack (WHS) έγινε θετικό Πατήστε στο WNS link και δείτε και πάλι τα χειρότερα 10 paths. Σημειώστε ότι αυτά είναι πλέον τα πραγματικά αφού είναι μετά το routing. Τα 4 πρώτα που έχουν αρνητικό slack (είναι violations) αφορούν καθυστερήσεις clock-to-out. Εικόνα 40. Τα 10 χειρότερα paths μετά το Implementation 26

27 Πατήστε στο Path 1 για να το δείτε αναλυτικά. Εικόνα 41. Το χειρότερο setup path (violation) μετά το implementation Παρατηρήστε ότι το clock χρειάζεται ns (clock path skew) για να φθάσει από τον ακροδέκτη εισόδου στο FF ενώ τα δεδομένα χρειάζονται ns (data path delay) για φθάνουν στον ακροδέκτη εξόδου μέσω του OBUF. Συνολικά, χρειάζονται ns ενώ το constraint ήταν ns ( clock uncertainty). Άρα το slack είναι ns ns = ns (αρνητικό). Από τα ns του data path delay, το 70.8% αφορά το logic (1 levels για τον OBUF) και το 29.2% το routing Πατήστε δεξί click στο χειρότερο Path 1 και επιλέξτε Schematic για να το δείτε στο σχηματικό διάγραμμα. Εικόνα 42. Το χειρότερο path (Path 1) μετά το implementation στο σχηματικό διάγραμμα 27

28 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ 4-3. Βελτίωση του timing με προσθήκη επιπλέον constraint Προσθέστε το παρακάτω constraint ώστε να τοποθετηθούν στα FF της εξόδου (που στο παράδειγμα είναι οι μοναδικές έξοδοι) στα IOBs με στόχο την μείωση του αντίστοιχου routing delay και συνεπώς την ικανοποίηση των constraints του clock-to-out για τα output ports. set_property IOB TRUE [all_outputs] Σε περίπτωση που δεν θέλετε όλες οι έξοδοι να μπουν σε IOBs τότε πρέπει να τις προσδιορίσετε. Πατήστε Run Implementation που βρίσκεται στα Implementation tasks του παραθύρου Flow Navigator ώστε να εκτελεστεί και πάλι όλο το flow (σύνθεση, implementation) Παρατήστε ότι πλέον ικανοποιούνται τα timing constraints (θετικό WNS). Επίσης παρατηρείστε ότι τo resource utilization παραμένει αμετάβλητο σε σχέση με το προηγούμενο implementation: 23 LUTs, 32 FFs, 6 IOs και 1 BUFG. Εικόνα 43. Project Summary για τα αποτελέσματα του ΝΕΟΥ implementation 28

29 4-4. Ανάλυση του Timing report για το ΝΕΟ implementation Πατήστε στο Report Timing Summary που βρίσκεται ανάμεσα στα Implemented Design Tasks, του Implementation του Flow Navigator. Ενεργοποιείστε την επιλογή «Report Datasheet Πατήστε OK για να παραχθεί το Timing_1 report. Εικόνα 44. Timing report μετά το NEO Implementation Παρατηρείστε ότι μετά την προσθήκη του νέου constraint, το Design Timing Summary δεν έχει timing violations. Πλέον, όλα τα slacks είναι θετικά Πατήστε στο WNS link και δείτε και πάλι τα χειρότερα 10 paths (χωρίς violations πια). Εικόνα 45. Τα 10 με το μικρότερο θετικό slack μετά το NEO Implementation Πατήστε στο Path 1 για να το δείτε αναλυτικά. 29

30 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Εικόνα 46. Το χειρότερο setup path (violation) μετά το implementation Παρατηρήστε ότι το clock χρειάζεται περίπου τον ίδιο χρόνο ns (clock path skew) για να φθάσει από τον ακροδέκτη εισόδου στο FF αλλά τα δεδομένα χρειάζονται πλέον ns (data path delay) για φθάνουν στον ακροδέκτη εξόδου μέσω του OBUF. Συνολικά, χρειάζονται 9,668 ns οπότε ικανοποιείται το constraint του ns ( clock uncertainty). Άρα το slack πλέον είναι θετικό ns ns = ns (θετικό). Από τα ns του data path delay, το 99,9% αφορά το logic (1 levels για τον OBUF) ενώ το routing μετά την τοποθέτηση του FF στο IOB έχει γίνει αμελητέο Πατήστε στο Path 6 (critical path) για να το δείτε αναλυτικά και επιλέξτε Schematic για να δείτε το σχηματικό διάγραμμα. 30

31 Εικόνα 47. Το critical path (Path 6) μετά το NEO implementation Παρατηρήστε στο παραπάνω report ότι το critical path είναι ns (datapath delay) και περιλαμβάνει 3 logic levels (2 LUT4 και 1 LUT5). Το clock χρειάζεται ns για να φθάσει από το pin στο source FF και μετά τα δεδομένα χρειάζονται άλλα ns να φθάσουν στο destination FF, δηλαδή σύνολο 9,971 ns (arrival time). Από την άλλη, το clk χρειάζεται ns για να φθάσει από το pin στο destination FF (με setup time 0,031 ns). Άρα τα δεδομένα πρέπει να έχουν φθάσει στο capture clock (1 περίοδο αργότερα) στα ,031 = ns (required time). Συνεπώς, το slack είναι θετικό ns - 9,971 ns = 5,642 ns. Το critical path φαίνεται στην παρακάτω εικόνα. Εικόνα 48. Το critical path (Path 6) μετά το NEO implementation στο σχηματικό διάγραμμα 31

32 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Πατήστε στο datasheet στο αριστερό μέρος του Timing summary report για να δείτε αναλυτικά τα Input Ports Setup/hold, Output Ports Setup/hold, Max/Min Delays for Output Busses κλπ. Στην παρακάτω εικόνα φαίνονται τα clock-to-out delays για τα output ports από το datasheet. Εικόνα 49.Clock-to-out output port delays από το datasheet Στο Vivado, επιλέξτε την καρτέλα Reports που βρίσκεται χαμηλά στο panel (εάν δεν είναι ορατή, κάντε click στο Window στην μπάρα του menu και επιλέξτε Reports), και κάντε double-click στο Utilization Report μέσα στο τμήμα Place Design. Το report θα εμφανιστεί στο σχετικό παράθυρο παρουσιάζοντας το resource utilization. Εικόνα 50. Διαθέσιμα reports 32

33 Εικόνα 51. Utilization report 4-5. Δείτε τα FPGA resources του design με το Report Utilization Στο Flow Navigator, επιλέξτε Open Implemented Design > Report Utilization. Το παράθυρο διαλόγου Report Utilization ανοίγει Πατήστε OK. Το utilization report εμφανίζεται στο κάτω μέρος του Vivado. Μπορείτε να επιλέξετε όποια από τα resources επιθυμείτε στο αριστερό μέρος για να δείτε το αντίστοιχο utilization. Εικόνα 52. Report Utilization από το Flow Navigator 33

34 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Επιλέξτε πχ. τα slice LUTs για αν δείτε πόσο πολύ και ποιες μονάδες καταναλώνουν τα resources Εάν επιθυμείτε μπορείτε να μεγεθύνετε όσο επιθυμείτε τον όψη του νέου implemented design για να δείτε λεπτομέρειες και να επαληθεύσετε την χρήση των καταχωρητών στα IOBs. Εικόνα 53. Βλέποντας τμήμα του ΝΕΟΥ implemented design (IOBs) σε μεγέθυνση 34

35 Εκτέλεση Χρονικής Προσομοίωσης (Timing Simulation) Βήμα Εκτελέστε ένα timing simulation Επιλέξτε Run Simulation > Run Post-Implementation Timing Simulation που βρίσκεται στα tasks του Simulation του παραθύρου Flow Navigator. Ο Vivado simulator θα ξεκινήσει χρησιμοποιώντας το implemented design και το system_tb ως toplevel module. Χρησιμοποιώντας τον Windows Explorer, επαληθεύστε ότι ο φάκελος timing έχει δημιουργηθεί μέσα στο φάκελο lab1.sim > sim_1 > impl. Ο φάκελος timing περιέχει τα αρχεία που παρήχθησαν για να εκτελεστεί το timing simulation Συνεχίστε την προσομοίωση για επιπλέον 1000 ns, επιλέξτε για την έξοδο q[3:0] radix unsigned decimal και πατήστε στο κουμπί Zoom Fit για να δείτε το παράθυρο με τις κυματομορφές από 0 έως τα 2000 ns Επιβεβαιώστε την ορθή λειτουργία Μπορείτε να προσθέσετε markers είτε με click στο κουμπί Add Marker ( ) είτε επιλέγοντας με τον time cursor την χρονική στιγμή που επιθυμείτε και κάνοντας δεξί click στο Markers->Add Marker. Εικόνα 54. Οι κυματομορφές του timing simulation Παρατηρήστε τις πραγματικές καθυστερήσεις και επιβεβαιώστε τα αποτελέσματα του timing report (clock skew, clock-to-out, κλπ.) Κλείστε τον simulator επιλέγοντας File > Close Simulation χωρίς να σώσετε κάποιες αλλαγές. 35

36 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Παραγωγή του Bitstream και Επαλήθευση Λειτουργίας Βήμα Συνδέστε την αναπτυξιακή κάρτα ZedBoard και τροφοδοτήστε την. Παράγετε το bitstream, ξεκινήστε ένα hardware session, και προγραμματίστε το FPGA Σιγουρευτείτε ότι το καλώδιο Micro-USB cable είναι συνδεδεμένο στο βύσμα JTAG PROG δίπλα στο βύσμα της τροφοδοσίας (power supply) Η αναπτυξιακή κάρτα Zedboard χρειάζεται μια ξεχωριστή πηγή τροφοδοσίας, που συνδέεται μέσω το J20, που βρίσκεται δίπλα στο διακόπτη POWER Τροφοδοτήστε την κάρτα (Power ON). Εικόνα 55. Σύνδεση αναπτυξιακής κάρτας ZedBoard Πατήστε στο Generate Bitstream που βρίσκεται στα tasks Program and Debug του παραθύρου Flow Navigator. Η διαδικασία της παραγωγής του bitstream θα εκτελεστεί στο implemented design. Όταν η διαδικασία ολοκληρωθεί, θα εμφανιστεί ένα παράθυρο διαλόγου Bitstream Generation Completed dialog box με τέσσερις επιλογές. Εικόνα 56. Παραγωγή bitstream Αυτή η διαδικασία θα παράγει ένα αρχείο system.bit μέσα στο φάκελο impl_1 στο φάκελο lab1.runs Επιλέξτε την επιλογή Open Hardware Manager και πατήστε OK. Το παράθυρο Hardware Manager θα ανοίξει δείχνοντας ότι βρίσκεται σε κατάσταση unconnected. 36

37 Πατήστε στο link Open target. Εικόνα 57. Άνοιγμα νέου hardware target Από το dropdown menu του link Open target, πατήστε Auto Connect. Η κατάσταση του Hardware Session αλλάζει από Unconnected στο όνομα του server και το FPGA device γίνεται highlighted. Επίσης παρατηρείστε ότι το Status δείχνει ότι δεν έχει προγραμματιστεί. Εικόνα 58. Hardware session για την αναπτυξιακή κάρτα ZedBoard Επιλέξτε το FPGA device και επαληθεύστε ότι το αρχείο system.bit έχει επιλεχθεί ως το αρχείο προγραμματισμού στην καρτέλα General. Εικόνα 59. Ιδιότητες του FPGA device της αναπτυξιακής κάρτας ZedBoard Πατήστε στο Program device > XC7Z020_1 ή στο σύνδεσμο XC7Z010_1 στην πράσινη μπάρα πληροφοριών για να προγραμματίσετε το target FPGA device. Ένας άλλος τρόπος είναι να κάνετε δεξί click στο device και να επιλέξετε Program Device Εικόνα 60. Επιλογή προγραμματισμού του FPGA 37

38 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Εικόνα 61. Επιλογή αρχείου προγραμματισμού του FPGA Πατήστε Program για να προγραμματίσετε το FPGA (θα ανάψει το μπλε DONE led) Επαληθεύστε την λειτουργία του σχεδίου παρατηρώντας την έξοδο στα LEDs και χρησιμοποιώντας το επάνω push-button για το Reset. Τι παρατηρείτε? Εάν το σχέδιό σας δεν συμπεριφέρεται όπως αναμένεται ζητήστε τη βοήθεια του εκπαιδευτή. Εάν λειτουργεί ορθά, έχετε ολοκληρώσει με επιτυχία την άσκηση. Όταν ολοκληρώσετε την επαλήθευση, κλείστε την κάρτα (power OFF) Μεταβάλλετε το generic ticks στο system.vhd (θα περάσει και στον enable generator) έτσι ώστε τα σήματα enable να παράγονται τόσο αργά ώστε οι αλλαγές στις εξόδους του δυαδικού μετρητή στα LEDs να είναι ορατές με το μάτι. Επαναλάβετε την διαδικασία παραγωγής του bitstream (η προσομοίωση δεν είναι δυνατή σε αυτή τη χρονική κλίμακα). Θα εκτελεστούν όλα τα βήματα από την αρχή (σύνθεση, implementation, generate bitstream). Εξετάστε προσεκτικά τα resource utilization και timing reports μετά το implementation. Σημειώστε τα παρακάτω: Slices: Slice LUTs: FF: I/O: Clock Period (ns): WNS (ns): TNS (ns): WHS (ns): THS (ns): Max Delay Path: Slack : Source: Destination: Data Path Delay: Logic Levels: Min Delay Path: Clock Path Skew: Slack : Source: Destination: Data Path Delay: Logic Levels: Clock Path Skew: Input Port Setup to Clk (Max) (ns): Output Port Clock-to-out (Max) (ns): Output Port Clock-to-out (Min) (ns): Κλείστε το hardware session επιλέγοντας File > Close Hardware Manager Πατήστε OK για να κλείσετε το session Κλείστε το πρόγραμμα Vivado επιλέγοντας File > Exit και πατήστε OK. 38

39 ΕΡΓΑΣΙΑ 1 η : Υλοποίηση up/down δυαδικού μετρητή Να τροποποιήσετε το κύκλωμα του δυαδικού μετρητή της εργαστηριακής άσκησης 1 και των constraints ώστε: να μετρά προς τα πάνω ή προς τα κάτω με επιλογή από μια νέα είσοδο up (SW6) (θα μετρά προς τα επάνω για up = 1, ή προς τα κάτω για up = 0). να υποστηρίζει παράλληλη φόρτωση δεδομένων με είσοδο load (SW7) και δεδομένα load_data [3:0] -> [SW3, SW2, SW1, SW0]. Για τα pin constraints συμβουλευτείτε το manual της κάρτας. Ελέγξτε τη λειτουργία του κυκλώματος τόσο με προσομοίωση όσο και στο υλικό προγραμματίζοντας το FPGA. Να επιδείξετε την ορθή λειτουργία στον εκπαιδευτή. Εξετάστε προσεκτικά τα resource utilization και timing reports μετά το implementation. Σημειώστε τα παρακάτω: Slices: Slice LUTs: FF: I/O: Clock Period (ns): WNS (ns): TNS (ns): WHS (ns): THS (ns): Max Delay Path: Slack : Source: Destination: Data Path Delay: Logic Levels: Clock Path Skew: Min Delay Path: Slack : Source: Destination: Data Path Delay: Logic Levels: Clock Path Skew: Input Port Setup to Clk (Max) (ns): Output Port Clock-to-out (Max) (ns): Output Port Clock-to-out (Min) (ns): 39

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

Εισαγωγή στη σχεδιαστική ροή της Xilinx

Εισαγωγή στη σχεδιαστική ροή της Xilinx Πανεπιστήμιο Κρήτης, Τμήμα Επιστήμης Υπολογιστών HY220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2014-2015 Εισαγωγή στη σχεδιαστική ροή της Xilinx ISE Design Suite 14.7 Στόχοι.. 1. Δημιουργία project

Διαβάστε περισσότερα

Lab 2 Manual - Introduction to Xilinx

Lab 2 Manual - Introduction to Xilinx Lab 2 Manual - Introduction to Xilinx Εισαγωγή Σε αυτό το εργαστήριο θα κάνουµε εισαγωγή στην γλωσσά προγραµµατισµού VHDL και εργαλείο Xilinx ISE. ISE είναι το εργαλείο που παρέχεται από Xilinx για να

Διαβάστε περισσότερα

Οδηγίες για την εγκατάσταση του πακέτου Cygwin

Οδηγίες για την εγκατάσταση του πακέτου Cygwin Οδηγίες για την εγκατάσταση του πακέτου Cygwin Ακολουθήστε τις οδηγίες που περιγράφονται σε αυτό το file μόνο αν έχετε κάποιο laptop ή desktop PC που τρέχουν κάποιο version των Microsoft Windows. 1) Copy

Διαβάστε περισσότερα

Εισαγωγή στη Verilog με το ISE

Εισαγωγή στη Verilog με το ISE Εισαγωγή στη Verilog με το ISE Πατάμε new project Δίνουμε όνομα και κατάλογο όπου θα αποθηκευτεί το project. Next όπου επιλέγουμε chip και preferred language βάζουμε Verilog Next και στο Create new source

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ Σκοπός της δεύτερης άσκησης είναι αφενός η επανάληψη απαραίτητων γνώσεων από την ύλη του προηγούμενου εξαμήνου και αφετέρου η άμεση εισαγωγή στην υλοποίηση

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 1 ΣΤΟΧΟΙ: 1. Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου (Microsoft Word) 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου 3. Δημιουργία Νέου Εγγράφου 4. Δημιουργία Εγγράφου Βασισμένο Σε Πρότυπο 5. Κλείσιμο

Διαβάστε περισσότερα

ΜΑΘΗΜΑ 10 Ο ΟΡΓΑΝΩΣΗ ΤΗΣ Β ΓΙΑ ΧΡΗΣΤΕΣ (NON-EXPERTS) Α. ΗΜΙΟΥΡΓΙΑ ΠΙΝΑΚΑ ΕΠΙΛΟΓΩΝ 1. TOOLS DATA UTILITIES SWITCHBOARD MANAGER YES

ΜΑΘΗΜΑ 10 Ο ΟΡΓΑΝΩΣΗ ΤΗΣ Β ΓΙΑ ΧΡΗΣΤΕΣ (NON-EXPERTS) Α. ΗΜΙΟΥΡΓΙΑ ΠΙΝΑΚΑ ΕΠΙΛΟΓΩΝ 1. TOOLS DATA UTILITIES SWITCHBOARD MANAGER YES ΜΑΘΗΜΑ 10 Ο ΟΡΓΑΝΩΣΗ ΤΗΣ Β ΓΙΑ ΧΡΗΣΤΕΣ (NON-EXPERTS) Α. ΗΜΙΟΥΡΓΙΑ ΠΙΝΑΚΑ ΕΠΙΛΟΓΩΝ 1. TOOLS DATA UTILITIES SWITCHBOARD MANAGER YES 2. ΠΑΤΗΣΤΕ EDIT ΑΛΛΑΞΤΕ ΤΟ ΟΝΟΜΑ COMPANY CLOSE 3. ΠΑΤΗΣΤΕ NEW (CREATE NEW)

Διαβάστε περισσότερα

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο 2011-2012 Διδάσκων: Γιώργος Ζάγγουλος Βοήθημα για το Πρόγραμμα Modelsim-Altera και την χρησιμοποίηση του μέσα από το Quartus για εκτέλεση

Διαβάστε περισσότερα

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe Εργασία στα Ψηφιακά Ηλεκτρονικά Συστήματα 2013-2014 Θέμα: Κατασκευή και Ανάλυση Μετρητή 4-bit και Πλήρους Αθροιστή σε περιβάλλον VHDL Ονοματεπώνυμο: Αλέξανδρος Γεώργιος Μουντογιαννάκης Σχολή: Τμήμα Επιστήμης

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων Δημήτρης Κωνσταντίνου, Γιώργος Δημητρακόπουλος Εφόσον έχουμε περιγράψει το κύκλωμά μας σε System Verilog θα πρέπει να βεβαιωθούμε πως λειτουργεί

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Η γλώσσα περιγραφής υλικού (harware description language) VHDL είναι μια γλώσσα με την οποία μπορεί

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ http://h2056wwwhp.com/portal/site/hpsc/template.page/public/psi... 1 of 5 1/7/2014 2:09 μμ Για το σπίτι Για την επιχείρηση Υποστήριξη Είσοδος Εγγραφή Βοήθεια ιστότοπου HP Color LaserJet 3600 Printer series

Διαβάστε περισσότερα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2010 Εισαγωγή Προπαρασκευαστική παρουσίαση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Quartus II Στο εργαστήριο

Διαβάστε περισσότερα

Συστήματα Μικροεπεξεργαστών

Συστήματα Μικροεπεξεργαστών Εργαστήριο 1 ο Εισαγωγή στον AVR Περίγραμμα Εργαστηριακής Άσκησης Εισαγωγή... 2 Κατηγορίες μικροελεγκτών AVR... 2 Εξοικείωση με το περιβάλλον AVR Studio 4... 3 Βήμα 1ο: Δημιουργία νέου έργου (project)...

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Λογισμικό Προσομοίωσης LogiSim καιχρήση KarnaughMaps Διδάσκοντες: Δρ. Αγαθοκλής Παπαδόπουλος & Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών

Διαβάστε περισσότερα

CADENCE. User Manual

CADENCE. User Manual CADENCE User Manual ΠΕΡΙΕΧΟΜΕΝΑ Αρχικοποίηση Περιβάλλοντος.. 1. Ξεκινώντας το πρόγραμμα Cadence... 1.1 Ανοίγοντας και Χρησιμοποιώντας το Library Manager. 1.2 Δημιουργία μιας Νέας Βιβλιοθήκης... 1.3 Άνοιγμα

Διαβάστε περισσότερα

Ενότητα 21 Pivot Tables

Ενότητα 21 Pivot Tables Ενότητα 21 Pivot Tables Όταν δημιουργείτε μια έκθεση θα θέλετε να δείτε τα δεδομένα σας με διαφορετικούς τρόπους. Μπορείτε να χρησιμοποιήσετε τους πίνακες Pivot αν θέλετε να δείτε στον πίνακα σας μόνο

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων. 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων. 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 1 ΣΤΟΧΟΙ: 1. Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων (Microsoft Excel) 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων 3. Δημιουργία Νέου Υπολογιστικού Φύλλου 4. Δημιουργία Υπολογιστικού Φύλλου

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ FRONT PAGE 3

ΕΡΓΑΣΤΗΡΙΟ FRONT PAGE 3 ΕΡΓΑΣΤΗΡΙΟ FRONT PAGE 3 Φόρµες Ένας τρόπος για να συλλέξετε πληροφορία από τους επισκέπτες του δικτυακού σας τόπου είναι οι φόρµες. Με τα εξειδικευµένα αυτά εργαλεία µπορείτε να κάνετε έρευνες ή τεστ,

Διαβάστε περισσότερα

Πως θα κατασκευάσω το πρώτο πρόγραμμα;

Πως θα κατασκευάσω το πρώτο πρόγραμμα; Εργαστήριο Δομημένος Προγραμματισμός (C#) Τμήμα Μηχανολογίας Νικόλαος Ζ. Ζάχαρης Καθηγητής Εφαρμογών Σκοπός Να γίνει εξοικείωση το μαθητών με τον ΗΥ και το λειτουργικό σύστημα. - Επίδειξη του My Computer

Διαβάστε περισσότερα

2.9.3 Χρήση λογισμικού παρουσιάσεων για τη δημιουργία απλών παρουσιάσεων ρουτίνας

2.9.3 Χρήση λογισμικού παρουσιάσεων για τη δημιουργία απλών παρουσιάσεων ρουτίνας 2.9 Δεξιότητες Τεχνολογίας Πληροφοριών και Επικοινωνιών 2.9.3 Χρήση λογισμικού παρουσιάσεων για τη δημιουργία απλών παρουσιάσεων ρουτίνας Να επιδεικνύει ικανότητα στη χρήση λογισμικού παρουσιάσεων, ακίνδυνα

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 1

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 1 ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 1 Σε αυτή την εργαστηριακή άσκηση θα σχεδιάσετε ένα σύστημα που θα υλοποιεί έναν ενιαίο ασύγχρονο πομποδέκτη UART (Universal Asynchronous Receiver Transmitter). Το UART θα υλοποιηθεί

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2006-2007 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

BHMATA ΓΙΑ ΑΝΑΒΑΘΜΙΣΗ ΣΤΟ 3S/I.T.P.

BHMATA ΓΙΑ ΑΝΑΒΑΘΜΙΣΗ ΣΤΟ 3S/I.T.P. BHMATA ΓΙΑ ΑΝΑΒΑΘΜΙΣΗ ΣΤΟ 3S/I.T.P. Πριν την έναρξη της διαδικασίας θα θέλαμε να σας ενημερώσουμε ότι η αναβάθμιση διαφέρει σε κάποιες λεπτομέρειες, ανάλογα με το τύπο της βάσης δεδομένων της κάθε χρήσης.

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ DVR KTEC

ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ DVR KTEC ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ DVR KTEC 1) Πατάμε δεξί κλικ Μενού 2) Όνομα Χρήστη βάζουμε «admin» 3) Κωδικός Πρόσβασης το αφήνουμε «κενό» 4) Πατάμε OK και μπαίνουμε στο Μενού Είσοδος στο μενού Στην πρώτη εκκίνηση μετά

Διαβάστε περισσότερα

Διαχείριση Έργων Πληροφορικής Εργαστήριο

Διαχείριση Έργων Πληροφορικής Εργαστήριο Διαχείριση Έργων Πληροφορικής Εργαστήριο «Εισαγωγή στο MS Project- Διάγραμμα Gantt» Μ.Τσικνάκης, Ρ.Χατζάκη Ε. Μανιαδή, Ά. Μαριδάκη 1. Εισαγωγή στο Microsoft Project To λογισμικό διαχείρισης έργων MS Project

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 1 (2 εβδοµάδες) Εβδοµάδα 6/11 έως 10/11 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 9 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Τμήμα Πληροφορικής & Επικοινωνιών Τομέας Υπολογιστικών Τεχνικών & Συστημάτων Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Ιωάννης Γεωργουδάκης - Πάρις Μαστοροκώστας Σεπτέμβριος 2011 ΠΕΡΙΕΧΟΜΕΝΑ

Διαβάστε περισσότερα

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE Η διαδικασία μεταφοράς του υλικού ενός μαθήματος από την πλατφόρμα Blackboard Vista στην πλατφόρμα Moodle σε βήματα είναι η ακόλουθη:

Διαβάστε περισσότερα

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2007 Εισαγωγή Προπαρασκευαστική Άσκηση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Max +Plus II Στο εργαστήριο ΗΜΥ

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Οδηγός Εγκατάστασης

Οδηγός Εγκατάστασης www.athenaschool.net Οδηγός Εγκατάστασης Αθηνά 2012 Μάρτιος 2013 Copyright Πόλυς Γεωργίου, 2013 Με επιφύλαξη παντός δικαιώματος. All rights reserved. Απαγορεύεται η αντιγραφή ή διανομή του παρόντος εγχειριδίου,

Διαβάστε περισσότερα

IDIKA eprescription SignMed Authentication & Digital Signature Client για Windows

IDIKA eprescription SignMed Authentication & Digital Signature Client για Windows IDIKA eprescription SignMed Authentication & Digital Signature Client για Windows Λήψη αρχείου εγκατάστασης, Οδηγίες Εγκατάστασης, Αλλαγή ΡΙΝ, Ειδικές Ρυθμίσεις για Firefox Λήψη αρχείου εγκατάστασης Για

Διαβάστε περισσότερα

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο εργαστήριο Υλικού Εβδοµάδα: 2 1 Στόχοι Εργαστηρίου Μετην ολοκλήρωση αυτού του εργαστηρίου, θα πρέπει να γνωρίζετε: 1. Τη διαδικασία που ακολουθείται για

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 2 (2 εβδοµάδες) Εβδοµάδα 27/11 έως 01/12 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

Δημιουργία μιας εφαρμογής Java με το NetBeans

Δημιουργία μιας εφαρμογής Java με το NetBeans Δημιουργία μιας εφαρμογής Java με το NetBeans Για να δημιουργήσετε μια εφαρμογή Java πρέπει να ακολουθήσετε τα εξής βήματα : Αρχικά πρέπει να δημιουργηθεί ένα project το οποίο θα περιέχει όλα τα αρχεία

Διαβάστε περισσότερα

TeleCost Hotel για Panasonic TDA

TeleCost Hotel για Panasonic TDA TeleCost Hotel για Panasonic TDA 1. Οδηγίες Εγκατάστασης του Λογισμικού του Συστήματος Telecost Hotel Γενικά Για την εγκατάσταση του Λογισμικού του Συστήματος TeleCost HL θα πρέπει να ακολουθηθούν συνοπτικά

Διαβάστε περισσότερα

Αντιγραφή με χρήση της γυάλινης επιφάνειας σάρωσης

Αντιγραφή με χρήση της γυάλινης επιφάνειας σάρωσης Γρήγορη αναφορά Αντιγραφή Δημιουργία αντιγράφων Γρήγορη δημιουργία αντιγράφου 3 Στον πίνακα ελέγχου του εκτυπωτή πατήστε το κουμπί αντίγραφο 4 Εάν τοποθετήσατε το έγγραφο στη γυάλινη επιφάνεια σάρωσης

Διαβάστε περισσότερα

Introduction IP Cores

Introduction IP Cores Introduction IP Cores Introduction Ένας hardware engineer έχει στα χέρια του πολύ ισχυρά εργαλεία που µπορούν να απλοποιήσουν το στόχο του σχεδίου υλικού. Μεταξύ αυτών των εργαλείων είναι και το IP core,

Διαβάστε περισσότερα

ΤΕΙ Πελοποννήσου Τμήμα Πληροφορικής. Οδηγίες Εγκατάστασης VPN Σύνδεσης στο ΤΕΙ Πελοποννήσου

ΤΕΙ Πελοποννήσου Τμήμα Πληροφορικής. Οδηγίες Εγκατάστασης VPN Σύνδεσης στο ΤΕΙ Πελοποννήσου Οδηγίες Εγκατάστασης VPN Σύνδεσης στο ΤΕΙ Πελοποννήσου 1. Λήψη Λογισμικού VPN Σύνδεσης Η λήψη του λογισμικού VPN μπορεί να γίνει από το παρακάτω link: https://www.shrew.net/download/vpn/vpn-client-2.2.2-release.exe

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης Δορυφορικής κάρτας Technisat

Οδηγίες εγκατάστασης Δορυφορικής κάρτας Technisat Οδηγίες εγκατάστασης Δορυφορικής κάρτας Technisat Απαιτήσεις συστήματος IBM συμβατό PC με επεξεργαστή Pentium III 700 MHz ή ανώτερο Τουλάχιστον 256 MB RAM Τουλάχιστον 30 MB ελεύθερος χώρος στον σκληρό

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 Ισχύει για προϊόντα από το 2012 και μετά CDE-13xBT & CDE-W235BT & CDA-137BTi Αυτό το εγχειρίδιο περιγράφει τα βήματα που απαιτούνται για την

Διαβάστε περισσότερα

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ OPEN ECLASS

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ OPEN ECLASS ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ OPEN ECLASS Η διαδικασία μεταφοράς του υλικού ενός μαθήματος από την πλατφόρμα Blackboard Vista στην πλατφόρμα Open eclass σε βήματα είναι

Διαβάστε περισσότερα

Για τους πελάτες που πραγματοποιούν συνδέσεις δικτύου σε λειτουργικό σύστημα Windows

Για τους πελάτες που πραγματοποιούν συνδέσεις δικτύου σε λειτουργικό σύστημα Windows Για τους πελάτες που πραγματοποιούν συνδέσεις δικτύου σε λειτουργικό σύστημα Windows Όταν χρησιμοποιείτε διαφορετικό λειτουργικό σύστημα ή αρχιτεκτονική για τον server και τον client, οι συνδέσεις δικτύου

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ 130 : Ψηφιακή σχεδίαση Βόλος 2015 1 Εισαγωγή Το Multisim είναι ένα ολοκληρωμένο περιβάλλον προσομοίωσης της συμπεριφοράς

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ ΣΤΟ ΑΣΥΡΜΑΤΟ ΔΙΚΤΥΟ eduroam

ΟΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ ΣΤΟ ΑΣΥΡΜΑΤΟ ΔΙΚΤΥΟ eduroam ΟΙΚΟΝΟΜΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΚΕΝΤΡΟ ΔΙΑΧΕΙΡΙΣΗΣ ΔΙΚΤΥΩΝ Πατησίων 76, ΑΘΗΝΑ 104 34 - Tηλ.. 210 8203900 - Fax. 210 8203909 e-mail: noc@aueb.gr ΟΔΗΓΙΕΣ ΣΥΝΔΕΣΗΣ ΣΤΟ ΑΣΥΡΜΑΤΟ ΔΙΚΤΥΟ eduroam To eduroam είναι

Διαβάστε περισσότερα

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC 1 Απαραίτητα εξαρτήματα Για την πραγματοποίηση της άσκησης είναι απαραίτητα τα εξής

Διαβάστε περισσότερα

Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler

Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler ΣΚΟΠΟΙ Σε αυτήν την άσκηση: Θα φτιάξουμε μικρά ασύρματα δίκτυα Θα επιλέξουμε ποια δεδομένα θα συλλέξουμε Θα τρέξουμε την προσομείωση Θα αναλύσουμε

Διαβάστε περισσότερα

ΑΝΑΠΑΡΑΓΩΓΗ ΜΑΘΗΣΙΑΚΩΝ ΑΝΤΙΚΕΙΜΕΝΩΝ ΣΤΟ ΦΩΤΟΔΕΝΤΡΟ ΜΑΘΗΣΙΑΚΑ ΑΝΤΙΚΕΙΜΕΝΑ. Οδηγίες για Java

ΑΝΑΠΑΡΑΓΩΓΗ ΜΑΘΗΣΙΑΚΩΝ ΑΝΤΙΚΕΙΜΕΝΩΝ ΣΤΟ ΦΩΤΟΔΕΝΤΡΟ ΜΑΘΗΣΙΑΚΑ ΑΝΤΙΚΕΙΜΕΝΑ. Οδηγίες για Java ΑΝΑΠΑΡΑΓΩΓΗ ΜΑΘΗΣΙΑΚΩΝ ΑΝΤΙΚΕΙΜΕΝΩΝ ΣΤΟ ΦΩΤΟΔΕΝΤΡΟ ΜΑΘΗΣΙΑΚΑ ΑΝΤΙΚΕΙΜΕΝΑ Οδηγίες για Java ΙΝΣΤΙΤΟΥΤΟ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΕΚΔΟΣΕΩΝ ΔΙΟΦΑΝΤΟΣ Φεβρουάριος 2018 Οι υπηρεσίες αναπτύχθηκαν από το ΙΤΥΕ

Διαβάστε περισσότερα

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ 3 Μέρος Α (Ι-V, προηγούμενο εργαστήριο λογισμικού) Βεβαιωθείτε

Διαβάστε περισσότερα

Εισαγωγή στο Εργαστήριο Υλικού

Εισαγωγή στο Εργαστήριο Υλικού ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στο Εργαστήριο Υλικού Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες

Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες ηλεκτρονικές διατάξεις(fpga) Η χρήση της πλατφόρμας Altera DE-nano και του εργαλείου σχεδίασης Quartus-II

Διαβάστε περισσότερα

Κατάσταση: Έτοιμο. Ψάχνει για σύνδεση στο internet.

Κατάσταση: Έτοιμο. Ψάχνει για σύνδεση στο internet. ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ ΧΡΗΣΗΣ 1. Εγκατάσταση κεντρικής μονάδας Σύνδεση της κεντρικής μονάδας a) Συνδέστε το τροφοδοτικό στην κεντρική μονάδα, b) Συνδέστε το καλώδιο LAN στην κεντρική μονάδα και το router

Διαβάστε περισσότερα

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθοί Διδασκαλίας: Ανδρέου Χαράλαμπος Παναγιώτα Δημοσθένους ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) Οδηγίες:

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Μελέτη της απόδοσης φωτοβολταϊκού στοιχείου Πειραματική διαδικασία 1/5. Προκαταρκτικές ρυθμίσεις

Μελέτη της απόδοσης φωτοβολταϊκού στοιχείου Πειραματική διαδικασία 1/5. Προκαταρκτικές ρυθμίσεις Πειραματική διαδικασία 1/5 Προκαταρκτικές ρυθμίσεις Συνδέουμε το καλώδιο USB στο Arduino Καλούμε την εφαρμογή SolarInsight από την επιφάνεια εργασίας. Συνδέουμε το καλώδιο της τροφοδοσίας στην πλακέτα

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 13 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

COSMOTE E220 USB Modem (3G HSDPA 3.6Mbps) Εγχειρίδιο Χρήσης

COSMOTE E220 USB Modem (3G HSDPA 3.6Mbps) Εγχειρίδιο Χρήσης COSMOTE E220 USB Modem (3G HSDPA 3.6Mbps) Εγχειρίδιο Χρήσης i Περιεχόµενα Προετοιµασία Ε220...1 Οδηγός Εγκατάστασης...1 Εισαγωγή στην Εφαρµογή Ε220 Manager...3 Υπηρεσίες Internet...4 SMS...4 Κατάλογος

Διαβάστε περισσότερα

Η Εγκατάσταση της Μονάδας AVerMedia και του Δέκτη TV στο Windows Media Center

Η Εγκατάσταση της Μονάδας AVerMedia και του Δέκτη TV στο Windows Media Center Εγχειρίδιο Χρήστη Η Εγκατάσταση της Μονάδας AVerMedia και του Δέκτη TV στο Windows Media Center Ελληνική ΑΠΟΠΟΙΗΣΗ ΕΥΘΥΝΩΝ Όλες οι οθόνες σε αυτήν την τεκμηρίωση αποτελούν εικόνες παραδείγματα. Οι εικόνες

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Οικονομίας Διοίκησης και Πληροφορικής Τμήμα Πληροφορικής και Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Αρχές Τηλ/ων Συστημάτων Εργαστήριο 1 ο : Εισαγωγή στο Simulink-Σήματα ημιτόνου-awgn

Διαβάστε περισσότερα

Προετοιμασία σύνδεσης του modem. Εγκατάσταση του Modem

Προετοιμασία σύνδεσης του modem. Εγκατάσταση του Modem ΕΓΚΑΤΑΣΤΑΣΗ ΣΥΝΔΕΣΗΣ DIAL-UP ΣΕ ΛΕΙΤΟΥΡΓΙΚΟ ΣΥΣΤΗΜΑ WINDOWS XP Διαδικασία δικτύωσης PC μέσω modem Στις επόμενες παραγράφους περιγράφεται αναλυτικά η διαδικασία που χρειάζεται να ακολουθήσετε προκειμένου

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2007-2008 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS 6, Mac OS, IOS, και Android

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS 6, Mac OS, IOS, και Android 4 Δεκεμβρίου 2012 Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Σάββας Νικηφόρου Version 0.1

Διαβάστε περισσότερα

Οδηγός Χρήσης για Windows

Οδηγός Χρήσης για Windows Οδηγός Χρήσης για Windows Περιεχόμενα Γρήγορο ξεκίνημα... 1 Εγκατάσταση του λογισμικού... 1 Χρήση του Εικονιδίου στην Περιοχή Ειδοποιήσεων... 6 Επαναφορά του εικονιδίου στην Περιοχή ειδοποιήσεων... 8 Διαχείριση

Διαβάστε περισσότερα

utorrent 1.6 Θα πρέπει ο φάκελος να είναι κάπως έτσι:

utorrent 1.6 Θα πρέπει ο φάκελος να είναι κάπως έτσι: utorrent 1.6 Πρώτα απ όλα κατεβάζουμε το utorrent 1.6 από το συγκεκριμένο link: http://download.utorrent.com/1.6/utorrent.exe (μη το τρέξετε ακόμα, απλά κατεβάστε το) Στη συνέχεια δημιουργήστε ένα καινούργιο

Διαβάστε περισσότερα

Για περισσότερες πληροφορίες σχετικά με τη συμβατότητα του λογισμικού με άλλα λειτουργικά συστήματα, επικοινωνήστε με το τμήμα υποστήριξης πελατών.

Για περισσότερες πληροφορίες σχετικά με τη συμβατότητα του λογισμικού με άλλα λειτουργικά συστήματα, επικοινωνήστε με το τμήμα υποστήριξης πελατών. Σελίδα 1 από 7 Οδηγός σύνδεσης Υποστηριζόμενα λειτουργικά συστήματα Μπορείτε να πραγματοποιήσετε εγκατάσταση του λογισμικού του εκτυπωτή χρησιμοποιώντας το CD Λογισμικό και τεκμηρίωση για τα ακόλουθα λειτουργικά

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΥΠΣ-ΕΔ/97 11/01/2017 Περιεχόμενα

Διαβάστε περισσότερα

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7 16 Νοεμβρίου 2012 Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7 Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Σάββας Νικηφόρου Version Number Πίνακας

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017)

ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017) ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017) Περιγραφή της Άσκησης Ο σκοπός της πρώτης άσκησης είναι κυρίως η εξοικείωση με το περιβάλλον προγραμματισμού του Arduino, γι αυτό και δεν είναι ιδιαίτερα σύνθετη. Αρχικά, θα

Διαβάστε περισσότερα

MIPS Interactive Learning Environment. MILE Simulator. Version 1.0. User's Manual

MIPS Interactive Learning Environment. MILE Simulator. Version 1.0. User's Manual MILE Simulator Version 1.0 User's Manual Νοέμβριος, 2011 Περιεχόμενα 1. Εισαγωγή στον προσομοιωτή...2 1.1 Εγκατάσταση...2 1.2 Βοήθεια Διευκρινήσεις...2 2. Ξεκινώντας με τον προσομοιωτή...3 2.1 Το memory

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

Οδηγίες Εγκατάστασης της εφαρμογής Readium και Readium για μαθητές με αμβλυωπία για την ανάγνωση βιβλίων epub σε Υπολογιστή.

Οδηγίες Εγκατάστασης της εφαρμογής Readium και Readium για μαθητές με αμβλυωπία για την ανάγνωση βιβλίων epub σε Υπολογιστή. Οδηγίες Εγκατάστασης της εφαρμογής Readium και Readium για μαθητές με αμβλυωπία για την ανάγνωση βιβλίων epub σε Υπολογιστή. Βήμα 1 ο : Εγκατάσταση εφαρμογής ανάγνωσης Readium και Readium για μαθητές με

Διαβάστε περισσότερα

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθοί Διδασκαλίας: Γιώργος Βαρνάβα - Λούκας Πέτρου ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) Οδηγίες: 1.

Διαβάστε περισσότερα

Ο ΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ. MS SQL Server Για τις ανάγκες των εφαρµογών της

Ο ΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ. MS SQL Server Για τις ανάγκες των εφαρµογών της Ο ΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ MS SQL Server 2014 Για τις ανάγκες των εφαρµογών της Νοέµβριος 2015 1.Γενικές Πληροφορίες Οι οδηγίες που ακολουθούν περιγράφουν την διαδικασία εγκατάστασης του MS SQL Server 2014 σύµφωνα

Διαβάστε περισσότερα

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθοί Διδασκαλίας: Γιώργος Βαρνάβα - Λούκας Πέτρου ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) Οδηγίες: 1.

Διαβάστε περισσότερα

Εγκατάσταση Microsoft SQL Server

Εγκατάσταση Microsoft SQL Server Εγκατάσταση Microsoft SQL Server Προϋποθέσεις Το xline E.R.P. υποστηρίζει τη σύνδεση με τον Microsoft SQL Server (2000 ή νεότερος) για την αποθήκευση και διαχείριση των δεδομένων του. Για να εγκατασταθεί

Διαβάστε περισσότερα

SYM-O-NET ΟΔΗΓΟΣ ΧΡΗΣΗΣ

SYM-O-NET ΟΔΗΓΟΣ ΧΡΗΣΗΣ SYM-O-NET ΟΔΗΓΟΣ ΧΡΗΣΗΣ Copyright 1998-2011, ΣΥΜΜΕΤΡΟΝ ΗΛΕΚΤΡΟΝΙΚΕΣ ΕΦΑΡΜΟΓΕΣ. Πρώτη έκδοση στα Ελληνικά. Σεπτέμβριος 2011. Δεν επιτρέπεται η έκδοση, Φώτο-αντιγραφή και η με οποιονδήποτε τρόπο ανάκτηση

Διαβάστε περισσότερα

Εγκατάσταση αρχείων βιβλιοθήκης VHOPE και VHOPE

Εγκατάσταση αρχείων βιβλιοθήκης VHOPE και VHOPE Εγκατάσταση αρχείων βιβλιοθήκης VHOPE και VHOPE Βήμα 1, εγκατάσταση VHOPE Η εφαρμογή VHOPE θα πρέπει να εγκατασταθεί στο PC σας προτού μπορείτε να αρχίσετε να χρησιμοποιείτε το υλικό παρουσίασης σε αυτό

Διαβάστε περισσότερα

ΣΥΣΤΗΜΑΤΑ SCADA. Μέρος 5. Δικτύωση για Απομακρυσμένο Έλεγχο και Μεταφορά Δεδομένων

ΣΥΣΤΗΜΑΤΑ SCADA. Μέρος 5. Δικτύωση για Απομακρυσμένο Έλεγχο και Μεταφορά Δεδομένων ΣΥΣΤΗΜΑΤΑ SCADA Μέρος 5 Δικτύωση για Απομακρυσμένο Έλεγχο και Μεταφορά Δεδομένων 1 Αναφορές 1. Taking Your Measurements to the Web with Lab VIEW : Ενδιαφέρον και περιεκτικό άρθρο από την ιστοσελίδα της

Διαβάστε περισσότερα

2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE

2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE 2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE Για την εκκίνηση του πακέτου εξοµοίωσης PSpice 9.1. (Student Version) είναι απαραίτητη η εκτέλεση του αρχείου Capture.exe. Αυτό κατά κανόνα βρίσκεται στο φάκελο όπου είναι εγκατεστηµένο

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 IVE-W530BT

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 IVE-W530BT Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 IVE-W530BT 1 Εισαγωγή Αυτό το εγχειρίδιο περιγράφει τα βήματα που απαιτούνται για την ενημέρωση του λογισμικού Bluetooth Κεντρικών Μονάδων. Διαβάστε

Διαβάστε περισσότερα

Εισαγωγή στο SPSS. Για την πρώτη σας προσπάθεια να εξοικειωθείτε με το SPSS, σκεφτείτε το παρακάτω πείραμα.

Εισαγωγή στο SPSS. Για την πρώτη σας προσπάθεια να εξοικειωθείτε με το SPSS, σκεφτείτε το παρακάτω πείραμα. Εισαγωγή στο SPSS Παράδειγμα εισαγωγής δεδομένων Για την πρώτη σας προσπάθεια να εξοικειωθείτε με το SPSS, σκεφτείτε το παρακάτω πείραμα. Ένας ψυχολογος ενδιαφέρεται για την επίδραση της διατροφής στη

Διαβάστε περισσότερα

ΕΓΚΑΤΑΣΤΑΣΗ ΣΥΝ ΕΣΗΣ DIAL-UP ΣΕ ΛΕΙΤΟΥΡΓΙΚΟ ΣΥΣΤΗΜΑ WINDOWS XP

ΕΓΚΑΤΑΣΤΑΣΗ ΣΥΝ ΕΣΗΣ DIAL-UP ΣΕ ΛΕΙΤΟΥΡΓΙΚΟ ΣΥΣΤΗΜΑ WINDOWS XP ΕΓΚΑΤΑΣΤΑΣΗ ΣΥΝ ΕΣΗΣ DIAL-UP ΣΕ ΛΕΙΤΟΥΡΓΙΚΟ ΣΥΣΤΗΜΑ WINDOWS XP ιαδικασία δικτύωσης PC µέσω modem Στις επόµενες παραγράφους περιγράφεται αναλυτικά η διαδικασία που χρειάζεται να ακολουθήσετε προκειµένου

Διαβάστε περισσότερα

2. Κάντε κλικ στο παράθυρο όψης Top για να το ενεργοποιήσετε, ώστε να σχεδιάσετε το πάτωµα του δωµατίου.

2. Κάντε κλικ στο παράθυρο όψης Top για να το ενεργοποιήσετε, ώστε να σχεδιάσετε το πάτωµα του δωµατίου. Άσκηση 7 Σύνθετα Αντικείµενα Στόχος της άσκησης Στόχος της παρούσας άσκησης είναι η εξοικείωση µε τη δηµιουργία σύνθετων αντικειµένων που δηµιουργούνται από τον συνδυασµό δύο ή περισσότερων τρισδιάστατων

Διαβάστε περισσότερα

Βάσεις Δεδομένων 2η εργαστηριακή άσκηση

Βάσεις Δεδομένων 2η εργαστηριακή άσκηση Βάσεις Δεδομένων 2η εργαστηριακή άσκηση Εισαγωγή στο περιβάλλον της oracle Δημιουργία πινάκων Δρ. Εύη Φαλιάγκα 1. Login Χρησιμοποιώντας έναν web explorer, μπαίνετε στο http://10.0.0.6:8080/apex και συμπληρώνετε

Διαβάστε περισσότερα

Εγκατάσταση του εκτυπωτή από το CD λογισμικού και τεκμηρίωσης

Εγκατάσταση του εκτυπωτή από το CD λογισμικού και τεκμηρίωσης Σελίδα 1 από 6 Οδηγός σύνδεσης Υποστηριζόμενα λειτουργικά συστήματα Μπορείτε να εγκαταστήσετε το λογισμικό του εκτυπωτή χρησιμοποιώντας το CD λογισμικού στα ακόλουθα λειτουργικά συστήματα: Windows 8.1

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΥΠΗΡΕΣΙΑ OTE TV GO ΣΕ ΥΠΟΛΟΓΙΣΤΗ (PC/LAPTOP)

ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΥΠΗΡΕΣΙΑ OTE TV GO ΣΕ ΥΠΟΛΟΓΙΣΤΗ (PC/LAPTOP) ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΥΠΗΡΕΣΙΑ OTE TV GO ΣΕ ΥΠΟΛΟΓΙΣΤΗ (PC/LAPTOP) Για την πρόσβαση στην υπηρεσία OTE TV GO για υπολογιστή, αρχικά ανοίξτε την ιστοσελίδα της υπηρεσίας www.otetvgo.gr. Για τη χρήση της υπηρεσίας

Διαβάστε περισσότερα

Χρόνοι Μετάδοσης και Διάδοσης

Χρόνοι Μετάδοσης και Διάδοσης Εργαστήριο 2 ΑΤΕΙ ΘΕΣΣΑΛΟΝΙΚΗΣ - ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΤΗΛΕΠΙΚΟΙΝΩΝΙΕΣ ΚΑΙ ΔΙΚΤΥΑ Η/Υ Χρόνοι Μετάδοσης και Διάδοσης Στόχος Ο στόχος του σημερινού εργαστηρίου είναι η εξοικείωση με τις βασικές

Διαβάστε περισσότερα