Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3

Σχετικά έγγραφα
Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

VHDL Εισαγωγικές έννοιες

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

Εφαρμογές Ψηφιακών Ηλεκτρονικών

GNSS Solutions guide. 1. Create new Project

Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5

Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

Οδηγίες εγκατάστασης και χρήσης του Quartus

Σχεδίαση Ψηφιακών Συστημάτων

Library, package και subprograms

Εισαγωγή στη Γλώσσα VHDL

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

Οδηγίεσ για τη ςφνδεςη του υπολογιςτή ςασ ςτουσ προβολείσ των αιθουςών Ι 1, Ι 2, Ι 3 και του 10 ου ορόφου

Σχεδίαση Ψηφιακών Συστημάτων

Joomla! - User Guide

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

VBA ΣΤΟ WORD. 1. Συχνά, όταν ήθελα να δώσω ένα φυλλάδιο εργασίας με ασκήσεις στους μαθητές έκανα το εξής: Version ΗΜΙΤΕΛΗΣ!!!!

ΦΤΛΛΟ ΕΡΓΑΙΑ ΤΠΗΡΕΙΑ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΥΤΔΡΟΜΕΙΟΤ

Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες

Modellus 4.01 Συ ντομοσ Οδηγο σ

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό.

Εγκατάσταση λογισμικού και αναβάθμιση συσκευής Device software installation and software upgrade

HY437 Αλγόριθμοι CAD

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

Εφδοξοσ+ Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)».

PortSip Softphone. Ελληνικά Ι English 1/20

Ακολουκιακά Λογικά Κυκλώματα

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

Structural VHDL. Structural VHDL

Seventron Limited. Οδηγίες χρήσης EnglishOnlineTests.com

Electronics μαηί με τα ςυνοδευτικά καλϊδια και το αιςκθτιριο κερμοκραςίασ LM335 που περιζχονται

Σύ ντομος Οδηγο ς χρη σης wikidot για τα projects

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format.

Πωσ δθμιουργώ φακζλουσ;

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο

ΠΑΡΑΡΤΗΜΑ Β. Verification

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7)

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

SPEEDO AQUABEAT. Specially Designed for Aquatic Athletes and Active People

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

ΔΙΑΔΙΚΑΙΑ ΚΑΙ ΡΤΘΜΙΕΙ ΓΙΑ ΤΝΔΕΗ ΣΟ INTRANET ΣΟΤ ΕΚΕΣΑ-ΙΣΧΗΔ

How to register an account with the Hellenic Community of Sheffield.

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ

Πανεπιστήµιο Θεσσαλίας

SingularLogic Application. Παραμετροποίηση Galaxy Application Server

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ

DIOSCOURIDES VERSION

Διαδικασία με βήματα. 1. Αλλάηω το χρϊμα ςκθνικοφ ςε γκρι(#3333).

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ

Σχεδίαση Ψηφιακών Συστημάτων

ΟΝΟΜΑΣΟΛΟΓΙΑ ΠΑΡΑΜΕΣΡΩΝ ΓΙΑ ΠΡΟΑΡΜΟΜΕΝΕ ΑΝΑΦΟΡΕ. παραμζτρου> (Εμφανίηεται ςαν Caption ςτθν φόρμα των φίλτρων).

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 3 : τοιχεία Μνιμθσ flip-flop.

CYTA Cloud Server Set Up Instructions

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

Introduction to IP Cores

Ρύθμιση σε whitelist

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

Οδηγίες Πρόζβαζης ζηο EndNote Web. Πρόζβαζη ζηο EndNote Web

ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ

Κυκλωμάτων» Χειμερινό εξάμηνο

7η Εργαστηριακή Άσκηση: Προβολή εικόνας στη φόρμα με εκτέλεση ερωτήματος.

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: Βασικές Μονάδες

Lab 2 Manual - Introduction to Xilinx

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

ςυςτιματα γραμμικϊν εξιςϊςεων

1. Κατέβαςμα του VirtueMart

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

Βάςεισ Δεδομζνων Ι. Ενότητα 7: Ειςαγωγή ςτην γλώςςα_sql. Δρ. Σςιμπίρθσ Αλκιβιάδθσ Σμιμα Μθχανικϊν Πλθροφορικισ ΣΕ

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών. (v.1.0.7)

Visual C Express - Οδηγός Χρήσης

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

ΑΝΣΙΣΡΟΦΗ ΤΝΑΡΣΗΗ. f y x y f A αντιςτοιχίηεται ςτο μοναδικό x A για το οποίο. Παρατθριςεισ Ιδιότθτεσ τθσ αντίςτροφθσ ςυνάρτθςθσ 1. Η. f A τθσ f.

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων

ΕΡΓΑΣΗΡΙΟ ΕΦΑΡΜΟΜΕΝΗ ΠΛΗΡΟΦΟΡΙΚΗ

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Transcript:

Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology) Computer and Electronic Circuits Technology MSc in Science - Agricultural Engineering

Προςομοίωςθ -Simulation (1) Η ζλλειψθ ςυντακτικϊν ςφαλμάτων δε ςυνεπάγεται ότι το κφκλωμα εκτελεί τισ λειτουργίεσ για τισ οποίεσ ζχει ςχεδιαςτεί. Για παράδειγμα αν κζλετε να υλοποιιςετε μία πφλθ XOR και ζχετε γράψει τθν εντολι X <= A OR B ο μεταφραςτισ δεν παρουςιάςει λάκθ το κφκλωμα αντιςτοιχεί ςε μία πφλθ OR και αυτό είναι ζνα είδοσ λογικοφ λάκουσ. Επομζνωσ, το επόμενο βιμα μετά τθν αποςφαλμάτωςθ του κϊδικα VHDL είναι θ προςομοίωςθ του κυκλϊματοσ για να επιβεβαιωκεί θ ορκι-λογικι λειτουργία του.

Προςομοίωςθ -Simulation (2) Στθ διαδικαςία αυτι δίνονται ςυγκεκριμζνεσ τιμζσ ςτα ςιματα ειςόδου - nodes του κυκλϊματοσ και ελζγχονται τα ςιματα εξόδου. Ελζγχουμε να αυτό που προκφπτει είναι ςφμφωνα με τον πίνακα αλθκείασ ι γενικά με αυτό που περιμζναμε κεωρθτικά.

Προςομοίωςθ -Simulation (3) Υπάρχουν δφο τφποι εξομοίωςθσ 1) λειτουργικι (functional) και 2) χρονιςμϊν (timing). Στθν περίπτωςθ τθσ λειτουργικισ εξομοίωςθσ δε λαμβάνονται υπόψθ οι κακυςτεριςεισ των ςτοιχείων (πυλϊν και διαςυνδζςεων) του κυκλϊματοσ αλλά γίνεται μια απλι επαλικευςθ. Στθν εξομοίωςθ χρονιςμϊν επαλθκεφουμε τθν ορκότθτα του κυκλϊματοσ και με βάςθ τουσ χρονικοφσ περιοριςμοφσ.

Προςομοίωςθ -Simulation (4) Ζςτω ότι υλοποιιςαμε ζναν απαρικμθτι (counter) και ότι θ κακυςτζρθςθ ενόσ flip-flop είναι 20 ns. Στθ λειτουργική εξομοίωση μποροφμε να χρθςιμοποιιςουμε ζνα ςιμα ρολογιοφ με περίοδο 10 ns και το κφκλωμα κα κάνει ςωςτι καταμζτρθςθ. Στθν εξομοίωση χρονισμοφ για το ίδιο ςιμα ρολογιοφ το κφκλωμα δεν κα ζχει τθ ςωςτι απόκριςθ γιατί μζςα ςτα 10 ns τα flip-flops δεν προλαβαίνουν να αλλάξουν κατάςταςθ.

Προςομοίωςθ με δφο διαφορετικά Εργαλεία Quartus II Waveform Editor ModelSim-Altera Software Υπάρχει ενςωματωμζνο ςτο Quartus II Λείπει από το Quartus Prime Δεν υπάρχει ςτο Quartus II Λείπει από το Quartus Prime Αλλά το Quartus Prime το χρειάηεται Το κατεβάηουμε ξεχωριςτά

Κϊδικασ για τθν υλοποίθςθ μιασ library ieee; use ieee.std_logic_1164.all; -------------------------------------- entity PeiramaPyles is port( απλισ προςομοίωςθσ x: in std_logic; y: in std_logic; F: out std_logic ); end PeiramaPyles; --------------------------------------- architecture OR_arch of PeiramaPyles is begin process(x, y) begin -- compare to truth table if ((x='0') and (y='0')) then F <= '0'; else F <= '1'; end if; end process; Υλοποίθςθ μιασ πφλθσ OR end OR_arch; architecture OR_beh of PeiramaPyles is begin F <= x or y; end OR_beh;

Quartus II Waveform Editor(1) Το λογιςμικό Quartus II περιλαμβάνει ζνα εργαλείο προςομοίωςθσ που μπορεί να χρθςιμοποιθκεί για τθν προςομοίωςθ τθσ ςυμπεριφοράσ ενόσ κυκλϊματοσ. Πριν το κφκλωμα προςομοιωκεί, είναι απαραίτθτο να δθμιουργθκοφν οι επικυμθτζσ κυματομορφζσ, που ονομάηονται test vectors, και αντιπροςωπεφουν τα ςιματα ειςόδου. Είναι επίςθσ απαραίτθτο να κακορίςετε τισ εξόδουσ, κακϊσ και τισ πικανζσ εςωτερικζσ μονάδεσ ςτο κφκλωμα, όπου ο ςχεδιαςτισ επικυμεί να παρατθριςει-παρακολουκιςει. Ο προςομοιωτισ εφαρμόηει τα test vectors με το μοντζλο υλοποιθμζνου κυκλϊματοσ και προβάλλει τθν αναμενόμενθ ανταπόκριςθ. Θα χρθςιμοποιιςουμε τον Quartus II Waveform Editor για να ςχεδιάςουμε τα test vectors, ωσ εξισ:

Quartus II Waveform Editor(2) Ανοίξτε το Waveform Editor window επιλζγοντασ File > New, το οποίο εμφανίηει το διπλανό παράκυρο Διαλζξτε το Vector Waveform File και πατιςτε OK

Quartus II Waveform Editor(3) Το Waveform Editor παράκυρο φαίνεται ςτο ςχιμα. Αποκθκεφςτε το αρχείο. Πατιςτε το Edit > End Time. Αλλάξτε τισ τιμζσ που κζλετε. Κάντε View > Fit για προςαρμογι παρακφρου.

Quartus II Waveform Editor(4) Επόμενο βιμα -> να ςυμπεριλάβουμε όλα τα nodes που κζλουμε για τθν προςομοίωςθ. Πατιςτε Edit > Insert >

Quartus II Waveform Editor(5) Πατιςτε το Pins: All Και μετά το List Αφοφ είναι επιλεγμζνο όνομα του Project που ζχετε ενεργό

Quartus II Waveform Editor(6) Στθν παρακάτω εικόνα παρατθροφμε τα ςιματα που εχουμε τοποκετιςει για προςομοίωςθ

Quartus II Waveform Editor(7) Μπορείτε να επιλζξετε το Clock ςιμα και να ορίςετε τθν περίοδο του ρολογιοφ Όταν λείπει υπάρχει από προεπιλογι Όταν υπάρχει μπορείτε να το κάνετε παραμετροποίθςθ και υπζρβαςθ.

Modelsim (1) Επιλζγοντασ να εργαςτοφμε με το ModelSim-Altera Softwareκαι το Quartus II Software Θα ακολουκιςουμε τισ οδθγίεσ όπωσ παρουςιάηονται επίςθμα ςτθ ςελίδα τθσ εταιρείασ ςτο παρακάτω link: https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/ug/ug_gs_msa_qii.pdf To set up the EDA tool options for ModelSim- Altera, follow these steps: 1. On the Tools menu of the Quartus II GUI, click Options. The Options dialog box appears. In the Category list, under General, click EDA Tool Options.

Modelsim (2)

Modelsim (3) Click the Browse button to the right of ModelSim-Altera in the EDA Tool list to specify the location of the ModelSim-Altera executable. The path is <ModelSimAltera installation path>\bin. Πρζπει να είναι εγκατεςτθμζνο For Example C:\intelFPGA\16.1\modelsim_ase\win32aloem Setting Up the Simulation To set up the simulation environment, follow these steps: 1. On the Assignments menu, click Settings. 2. In the Settings dialog box, under EDA Tool Settings, select Simulation. The Simulation page appears.

Modelsim (4)

Modelsim (5) In the Tool name list, select ModelSim-Altera. Ensure that Run gate-level simulation automatically after compilation box is turned off. 3. Under EDA Netlist Writer settings, in the Format for output netlist list, select Verilog HDL or VHDL. Ensure that the Map illegal HDL characters, Enable glitch filtering, and Generate Value Change Dump (VCD) file script boxes are turned off. 4. Under NativeLink settings, select None.

Modelsim (6) Running ModelSim-Altera from the Quartus II Software Follow these steps: 1. On the Processing menu, point to Start, and then click Start Analysis & Elaboration. 2. On the Tools menu, point to Run EDA Simulation Tool and then click EDA RTL Simulation. The Quartus II software starts the ModelSim-Altera simulator.

Modelsim (7) Creating Stimulus Waveforms Adding Signals to the Wave Window To add signals to the Wave window, follow these steps: 1. In the ModelSim-Altera GUI, expand Work in the Library window, and then right-click in your project name. 2. Click Create Wave Three signals from the design example are added in the Wave window, where you can create stimulus waveforms for each signal to simulate the design. In this example, you will create stimulus waveforms for the x,y and F signals.

Modelsim (8)

Modelsim (9)