ΠΑΡΟΥΣΙΑΣΗ ΔΙΠΛΩΜΑΤΙΚΗΣ ΕΡΓΑΣΙΑΣ

Σχετικά έγγραφα
ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

Εργαστηριακές ασκήσεις λογικών κυκλωμάτων 11 A/D-D/A

Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστημάτων

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

Παλμοκωδική Διαμόρφωση. Pulse Code Modulation (PCM)

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΜΕΤΑΤΡΟΠΕΙΣ D/A & A/D

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ 21/06/2011 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΙΑΣ

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΠΑΛΜΟΚΩΔΙΚΗ ΔΙΑΜΟΡΦΩΣΗ - PCM (ΜΕΡΟΣ Α)

ΜΕΛΕΤΗ ΔΟΜΩΝ ΜΕΤΑΤΡΟΠΕΩΝ ΑΝΑΛΟΓΙΚΟΥ ΣΗΜΑΤΟΣ ΣΕ ΨΗΦΙΑΚΟ.

Η πρωτεύουσα διάταξη Α, για την αποστολή θερμοκρασιακών δεδομένων μέσω υπέρυθρης ζεύξης.

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων

ΚΕΦΑΛΑΙΟ ΚΕΦΑΛΑΙΟ

Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστηµάτων

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΠΑΡΑΔΟΤΕΟ (Π b) ΥΠΗΡΕΣΙΑ ΕΡΓΑΣΤΗΡΙΟΥ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΑΝΑΛΥΣΗΣ ΕΥΡΥΖΩΝΙΚΩΝ ΔΙΚΤΥΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

Ταλαντωτές. LC: σε ταλαντωτές συχνοτήτων άνω του 1 ΜΗz (σε τηλεπικοινωνιακές διατάξεις). RC: για συχνότητες μέχρι και 1 ΜΗz.

Παλμοκωδική Διαμόρφωση. Pulse Code Modulation (PCM)

Φίλτρα διέλευσης: (α) χαμηλών συχνοτήτων (β) υψηλών συχνοτήτων

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

Αναλογικά & Ψηφιακά Κυκλώματα ιαφάνειες Μαθήματος ρ. Μηχ. Μαραβελάκης Εμ.

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

HMY 429: Εισαγωγή στην Επεξεργασία Ψηφιακών

Ψηφιακά Ηλεκτρονικά Γ ΕΠΑΛ ιδάσκων: Γεώργιος Μακεδών, Φυσικός M.Sc. Μάθηµα 47ο. Ερωτήσεις κατανόησης 1. Τι είναι οι µετατροπείς A/D

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

Τεχνολογικό Eκπαιδευτικό Ίδρυμα Kρήτης TMHMA MHXANOΛOΓIAΣ. Δρ. Φασουλάς Γιάννης

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστηµάτων

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014

Τηλεπικοινωνιακά Συστήματα Ι

ΕΝΙΣΧΥΤΗΣ ΜΕ ΣΥΖΕΥΞΗ ΜΕΣΩ ΠΥΚΝΩΤΗ

1. ΤΕΛΕΣΤΙΚΟΙ ΕΝΙΣΧΥΤΕΣ

ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ

ΤΗΛ412 Ανάλυση & Σχεδίαση (Σύνθεση) Τηλεπικοινωνιακών Διατάξεων. Διάλεξη 6. Άγγελος Μπλέτσας ΗΜΜΥ Πολυτεχνείου Κρήτης, Φθινόπωρο 2016

επανενεργοποιηθεί Βιομηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος Control Systems Laboratory

Τηλεπικοινωνιακά Συστήματα ΙΙ

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ 16/02/2010 ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΙΑΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΜΕΛΕΤΗ ΜΕΤΑΤΡΟΠΕΩΝ ΨΗΦΙΑΚΟΥ ΣΗΜΑΤΟΣ ΣΕ ΑΝΑΛΟΓΙΚΟ ΜΕ ΤΗ ΤΕΧΝΙΚΗ ΣΙΓΜΑ ΔΕΛΤΑ

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία Διάλεξη 6

Σύγχρονοι Απαριθμητές. Διάλεξη 8

ΘΕΜΑ 1 ο (3.5 μονάδες) V CC R C1 R C2. R s. v o v s R L. v i I 1 I 2 ΛΥΣΗ R 10 10

vergina.eng.auth.gr/kontoleon 1 ΗΛΕΚΤΡΟΝΙΚΗ ΙΙ ευτέρα, , 9 π..µ (Αιθ. 1-7, ιάρκεια Εξετ. 3 hr)

ΕΝΟΤΗΤΑ 5 Αναλογικά σήματα

ΠΑΡΑΓΩΓΗ ΚΑΙ ΕΠΕΞΕΡΓΑΣΙΑ ΣΗΜΑΤΟΣ

27-Ιαν-2009 ΗΜΥ (ι) Βασική στατιστική (ιι) Μετατροπές: αναλογικό-σεψηφιακό και ψηφιακό-σε-αναλογικό

Εισαγωγή στην Επεξεργασία Σήματος. Νόκας Γιώργος

Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ

ΑΝΑLOG TO DIGITAL CONVERTER (ADC)

Ημιτονοειδή σήματα Σ.Χ.

3. ΕΝΙΣΧΥΤΗΣ ΜΕ ΣΥΖΕΥΞΗ ΜΕΣΩ ΠΥΚΝΩΤΗ

Παρεμβολή Ενισχυτών μεταξύ γεωφώνων και καταγραφικού

ΜΕΤΡΗΣΗ ΔΙΑΦΟΡΑΣ ΦΑΣΗΣ ΔΥΟ ΗΜΙΤΟΝΟΕΙΔΩΝ ΣΗΜΑΤΩΝ

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 1η. Σημειώσεις μαθήματος: E mail:

ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής

7. ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ

ΠΕΙΡΑΜΑΤΙΚΗ ΔΙΑΔΙΚΑΣΙΑ

Μελέτη και Προσομοίωση n πομπού για ασύρματη πρόσβαση ΦΟΙΤΗΤΗΣ: ΛΑΖΑΡΙΔΗΣ ΚΩΝΣΤΑΝΤΙΝΟΣ ΕΠΙΒΛΕΠΩΝ ΚΑΘΗΓΗΤΗΣ: ΕΥΣΤΑΘΙΟΥ ΔΗΜΗΤΡΙΟΣ

Ανάκτηση θερμοκρασιακού πεδίου σε περιστρεφόμενο (εν κινήσει)

Σελίδα 1 από 11. Απαντήσεις στο φυλλάδιο 47. Ερώτηση 1 η : Αποτελούν τα ηλεκτρονικά κυκλώµατα µε τα οποία συνήθως γίνεται η διασύνδεση του αναλογικού

Τελεστικοί Ενισχυτές

ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ. ΘΕΜΑ 1ο α. Τι εννοούμε με τον όρο διαμόρφωση; Ποιο σήμα ονομάζεται φέρον, ποιο διαμορφωτικό και ποιο διαμορφωμένο;

ΔΙΔΑΣΚΩΝ: Λ. ΜΠΙΣΔΟΥΝΗΣ ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 28/01/2015

4. ΕΝΙΣΧΥΤΗΣ ΜΕ ΑΜΕΣΗ ΣΥΖΕΥΞΗ

ΗΛΕΚΤΡΟΝΙΚΑ ΙΣΧΥΟΣ ΗΜΥ 444

Λογαριθµικοί Ενισχυτές

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ

Τελεστικοί Ενισχυτές

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Εισαγωγή. Κατηγοριοποίηση αισθητήρων. Χαρακτηριστικά αισθητήρων. Κυκλώματα διασύνδεσης αισθητήρων

Τηλεπικοινωνιακά Συστήματα Ι

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΗΜΜΥ 203 Εργαστήριο Κυκλωμάτων και Μετρήσεων. Τελική Εξέταση Πέμπτη 7/12/2006, Α και

7 ο ΚΕΦΑΛΑΙΟ ΕΡΩΤΗΣΕΙΣ ΑΥΤΟΕΞΕΤΑΣΗΣ. 1) Ποιος είναι ο ρόλος του δέκτη στις επικοινωνίες.

Τηλεπικοινωνιακά Συστήματα Ι

Διπλωματική Εργασία Μελέτη Μετατροπέων Δέλτα Σίγμα (ΣΔ-DAC/ADC)

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 4β. Σημειώσεις μαθήματος: E mail:

Κεφάλαιο 2 Διαχείριση Σηµάτων σε Ψηφιακά Συστήµατα Ελέγχου

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Ι Ο ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ

Τηλεπικοινωνικακά Συστήματα Ι - Ενδεικτικές Ερωτήσεις Ασκήσεις 1)

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ 05/07/2010 ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΙΑΣ

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Ηλεκτρική Ενέργεια. Ηλεκτρικό Ρεύμα

ΗΜΜΥ 203 Εργαστήριο Κυκλωμάτων και Μετρήσεων. Τελική Εξέταση Παρασκευή 8/12/2006, Α και

Ενισχυτικές Διατάξεις 1. Ο Τελεστικός ενισχυτής 741

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Τηλεπικοινωνιακά Συστήματα ΙΙ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

Άσκηση 12 Ο ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ ua741 ΕΦΑΡΜΟΓΕΣ

Ενδεικτικές Ασκήσεις για το μάθημα: «Μετρήσεις Φυσικών Μεγεθών»

Transcript:

ΠΑΡΟΥΣΙΑΣΗ ΔΙΠΛΩΜΑΤΙΚΗΣ ΕΡΓΑΣΙΑΣ ΜΕΛΕΤΗ ΔΟΜΩΝ ΜΕΤΑΤΡΟΠΕΩΝ ΑΝΑΛΟΓΙΚΟΥ ΣΗΜΑΤΟΣ ΣΕ ΨΗΦΙΑΚΟ Καραβίτης Κωνσταντίνος Α.Μ: 5030 Επιβλέπων Καθηγητής: Κ.Ευσταθίου Συνεπιβλέπων Καθηγητής: Γ.Παπαδόπουλος ΠΑΤΡΑ 2008 1

ΣΤΟΧΟΣ Σχεδίαση και κατασκευή των κυριότερων μετατροπέων Α/D για εκπαιδευτική χρήση. Παρουσίαση και μελέτη της Α/D μετατροπής μέσα από το RMCLab. 2

ΜΕΘΟΔΟΙ ΜΕΤΑΤΡΟΠΗΣ Dual - Slope A/D Converter. Tracking A/D Converter. Successive Approximation A/D Converter. Algorithmic A/D Converter. 3

Εργαλεία που χρησιμοποιήθηκαν. Το PSpice (Version 9.2). Το Matlab (Version 6. 5). 5 Το σχεδιαστικό πακέτο MAX+PLUS II της Altera (Version 7. 22). Το Advanced Schematic Capture (Version 6. 5. 2) 2 από την PROTEL. Το Advanced PCB Design (Version 6. 5. 2) 2 από την PROTEL. Το Advanced PCB Router (Version 6. 5. 2) 2 από την PROTEL. 4

Dual - Slope A/D Converter

Dual-Slope A/D Converter ΠΛΕΟΝΕΚΤΗΜΑΤΑ Μεγάλη Ανάλυση. Μεγάλη ακρίβεια. Μεγάλη γραμμικότητα. Απόρριψη θορύβου. Εύκολη υλοποίηση. ΜΕΙΟΝΕΚΤΗΜΑΤΑ Μικρή ταχύτητα. Το μπλοκ διάγραμμα του μετατροπέα. 6

Dual-Slope A/D Converter Κατάσταση Ηρεμίας: Ο διακόπτηςsi είναι στο κάτω μέρος. Η τάσηεξόδουτου ολοκληρωτή (Vint) δεσμεύεται στα 0.7 V. Η έξοδος του συγκριτή είναι high. Ο απαριθμητής είναι σε κατάσταση αδράνειας. dv dt int = Vin R1 C1 dv dt int Vref = R1 C1 Την χρ.στιγμή Τ = 0 ενεργοποιείται το σήμα SOC και αρχίζει η διαδικασία της μετατροπής. 7

Dual-Slope A/D Converter Μεταβολή τάσης στο διάστημα Τ = 1 έως Τ = 2: N Vin 2 ΔVT 12 = R1 C1 F clk Μεταβολή τάσης στο διάστημα Τ = 2 έως Τ = 3: Vref K ΔVT 23 = R1 C1 F clk Ισχύει: ΔV V in T12 = V = ΔV ref T 23 K 2 N dv dt int = V in R1 C1 dv dt int = V ref R1 C1 8

Η Υλοποίηση του Dual-Slope A/D Converter. Το μπλοκ διάγραμμα της υλοποίησης του Dual-Slope μετατροπέα. 9

Χαρακτηριστικά της υλοποίησης του Dual-Slope A/D Converter Η ανάλυση του μετατροπέα ισούται με 8 bit. Ο Dual-Slope που υλοποιήσαμε μετατρέπει τάση πλάτους από 0 V μέχρι 2.5 V. Η συχνότητα του ρολογιού που χρησιμοποιεί ο απαριθμητής είναι: Fclk = 12 MHz. H συχνότητα μετατροπής είναι: 1 1 FA / D = FA / D = 15. 63 9 min 9 2 V 1.5 2 in 1 + F V 12 MHz clk ref KHz 10

Η Λειτουργία του Dual-Slope A/D Converter V DAC V in Η λειτουργία του μετατροπέα για ημιτονοειδή τάση εισόδου. Vin = 1 + 0.5 cos(2 π 160 t) V. 11

Η Λειτουργία του Dual-Slope A/D Converter V DAC V in Η λειτουργία του μετατροπέα για τριγωνική τάση εισόδου πλάτους 1V peak-peak και συχνότητας fin = 160 Hz. 12

Η Λειτουργία του Dual-Slope A/D Converter V DAC V in Η λειτουργία του μετατροπέα για παλμική τάση εισόδου πλάτους 1V peakpeak και συχνότητας fin = 160 Hz. 13

Η Λειτουργία του Dual-Slope A/D Converter V ΙΝΤ Ο τρόπος με τον οποίο γίνεται η μετατροπή στον Dual-Slope A/D Converter. Vin = 1 V. 14

Απόρριψη Θορύβου Ο Dual-Slope A/D Converter έχει το πλεονέκτημα να ολοκληρώνει τον θόρυβο που υπερτίθεται στο χρήσιμο σήμα εισόδου. Οι συχνότητες που είναι πολλαπλάσιες της συχνότητας ολοκλήρωσης της τάσης εισόδου απορρίπτονται ολικά. 15

Απόρριψη Θορύβου Vin f int ( t ) = [1 + sin( 2πf 1 = = ΔT 12 12 MHz 2 9 int t )] V 23.5 KHz V IN (t) V DAC 16

Η Ολοκλήρωση Του Θορύβου V IN V INT 17

Tracking A/D Converter

Tracking A/D Converter ΠΛΕΟΝΕΚΤΗΜΑΤΑ Μεγάλη ταχύτητα μετρήσεων. Απλή υλοποίηση. ΜΕΙΟΝΕΚΤΗΜΑΤΑ Μικρό εύρος συχνοτήτων εισόδου. Η Γραμμικότητα εξαρτάται από αυτή του DAC. Το μπλοκ διάγραμμα του μετατροπέα. 19

Εξομοίωση του Αλγόριθμου Λειτουργίας του Tracking A/D Converter. Χαρακτηριστικά της εξομοίωσης. Ανάλυση: Ν = 8. F clk = 50KHz. V ref = 2.5V. fin = 20 Hz. V V LSb error 2.5V = 9. 8mV 8 2 V LSb V in = 1.25V + 1.25V sin(2 π fin t). 20

Το Εύρος των Συχνοτήτων Εισόδου Περιορίζεται από τον ρυθμό αλλαγής τάσης που μπορεί να πετύχει ο μετατροπέας. dv V ref F clk = N dt 2 Για να μπορεί ο μετατροπέας να παρακολουθεί την τάση εισόδου του,όταν αυτή μεταβάλλεται στον χρόνο, πρέπει: dvin dt Fin Fin MAX MAX Vref F N 2 Fclk = N 2 π 62 Hz clk 21

Χαρακτηριστικά της Υλοποίησης του Tracking A/D Converter. Ανάλυση: Ν = 8. Fclk = 50KHz. Vref = 2.5V. 22

Η Λειτουργία του Tracking A/D Converter V IN V DAC Η λειτουργία του μετατροπέα για ημιτονοειδή τάση εισόδου. Vin = 1.2V + 1.2V sin(2 π 60 t). 23

Η Λειτουργία του Tracking A/D Converter V IN V DAC Η λειτουργία του μετατροπέα για τριγωνική τάση εισόδου πλάτους 2V peak to peak και συχνότητας 100 Hz. 24

Ο Βελτιωμένος Tracking A/D Converter Το μπλοκ διάγραμμα του μετατροπέα. 25

Ο Τρόπος Αυξομείωσης της Ψηφιακής Εξόδου. 26

Ο Βελτιωμένος Απαριθμητής 27

Εξομοίωση της Λειτουργίας του Βελτιωμένου Tracking A/D Converter Χαρακτηριστικά της εξομοίωσης. Ανάλυση: Ν = 8. F clk = 50KHz. V ref = 2.5V. V in = 1.25V + 1.25V sin(2 π fin t). fin = 20 Hz. V V LSb error 2.5V = 8 2 2 V 9.8mV LSb Η εξομοίωση της λειτουργίας του μετατροπέα. 28

Χαρακτηριστικά της Υλοποίησης του Βελτιωμένου Tracking A/D Converter. Ανάλυση: Ν = 8. Fclk = 50KHz. Vref = 2.5V. 29

Η Λειτουργία του Βελτιωμένου Tracking A/D Converter V IN V DAC Η λειτουργία του μετατροπέα για ημιτονοειδή τάση εισόδου. Vin = 1.2V + 1.2V sin(2 π 60 t). 30

Σύγκριση με τον απλό Tracking A/D Converter Βελτιωμένος Tracking A/D fin = 80 Hz Απλός Tracking A/D 31

Σύγκριση με τον απλό Tracking A/D Converter Βελτιωμένος Tracking A/D fin = 100 Hz Απλός Tracking A/D 32

Η Λειτουργία του Βελτιωμένου Tracking A/D Converter fin = 120 Hz 33

Η Λειτουργία του Βελτιωμένου Tracking A/D Converter fin = 208 Hz 34

Η Λειτουργία του Βελτιωμένου Tracking A/D Converter fin = 260 Hz 35

Η Λειτουργία του Βελτιωμένου Tracking A/D Converter Η λειτουργία του μετατροπέα για τριγωνική τάση εισόδου πλάτους 2V peak to peak και συχνότητας 200Hz.. 36

Η Βελτίωση στην ταχύτητα μετατροπής Απλός Tracking A/D ΔΧ: Χρόνος προσέγγισης. Βελτιωμένος Tracking A/D 37

Successive Approximation A/D Converter

Successive Approximation A/D Converter ΠΛΕΟΝΕΚΤΗΜΑΤΑ Ικανοποιητικός χρόνος μετατροπής. Απλή κατασκευή. Μεγάλο εύρος συχνοτήτων. ΜΕΙΟΝΕΚΤΗΜΑΤΑ Η Γραμμικότητα εξαρτάται από αυτή του DAC. Το μπλοκ διάγραμμα του μετατροπέα. 39

Ο Αλγόριθμος δυαδικής έρευνας 0 x? 256 ΟΧΙ x > 128? ΝΑΙ 0 x? 128 128 x? 256 ΟΧΙ x> 64? ΝΑΙ ΟΧΙ x > 192? ΝΑΙ 0 x? 64 64 x? 128 128 x? 192 192 x? 256 40

Ο Αλγόριθμος Λειτουργίας του Successive Approximation A/D Converter b1 MSbit b2 Bout b3 b4 b5 b6 b7 b8 LSbit 41

Χαρακτηριστικά της Υλοποίησης του Successive Approximation A/D Converter Ανάλυση: N = 8. F CLK = 100.8 KHz. F A/D = 12.6 KHz. V ref = 2.5 V. 42

Η Λειτουργία του Successive Approximation A/D Converter Vin ( t) = [1 + sin( 2π 800 t )] V V S/H 43

Η Λειτουργία του Successive Approximation A/D Converter V S/H V DAC 44

Η Λειτουργία του Successive Approximation A/D Converter Vin ( t) [1 + sin( 2π 800 t )] V = V DAC 45

Successive Approximation A/D Converter 46

Η Λειτουργία του Successive Approximation A/D Converter Vin ( t) = [1 + sin( 2π 800 t )] V V DACHold 47

Η Λειτουργία του Successive Approximation A/D Converter Vin ( t) = [1 + sin( 2π 10 t )] V 48

Η Λειτουργία του Successive Approximation A/D Converter Vin ( t ) = [1 + sin( 2π 100 t )] V 49

Η Λειτουργία του Successive Approximation A/D Converter Vin ( t ) = [1 + sin( 2π 1000 t )] V 50

Algorithmic A/D Converter

Algorithmic A/D Converter ΠΛΕΟΝΕΚΤΗΜΑΤΑ Μεγάλη Ανάλυση. Απλή υλοποίηση. ΜΕΙΟΝΕΚΤΗΜΑΤΑ Μικρή ταχύτητα. Κακή γραμμικότητα. Το μπλοκ διάγραμμα του Algorithmic A/D Converter. 52

Algorithmic A/D Converter Vs Ο 1 ος κύκλος μετατροπής. 1 η φάση: Σύγκριση της τάσης V S με το μηδέν. Vstep 2 η φάση: Καθορισμός του MSbit και της τάσης Vstep. 3 η φάση: Καθορισμός της τάσης Verr που θα συγκριθεί μετομηδένστονεπόμενο κύκλο μετατροπής. SAMPLE HOLD HOLD SAMPLE 53

Algorithmic A/D Converter Shift Register b1 MSbit b2 Bout b3 b4 b5 b6 b7 b8 b9 LSbit Ο αλγόριθμος λειτουργίας του Algorithmic A/D Converter. 54

Η Κωδικοποίηση της Εξόδου ΑΡΙΘΜΟΣ +255 9-bit OFFSET BINARY CODE 111111111 +254 111111110 0 100000000-254 000000010-255 -256 000000001 000000000 55

Algorithmic A/D Converter Το κύκλωμα του Algorithmic A/D Converter. 56

Algorithmic A/D Converter Το κύκλωμα που υλοποιεί την συνάρτηση Verr=2Vhold+Vstep. 57

Algorithmic A/D Converter V C 5 = V hold V offset V C6 = 0 V offset = V offset V err = V offset H πρώτη φάση του κυκλώματος Verr=2Vhold+Vstep 58

Algorithmic A/D Converter V C5 = 0 V off = V off ΔQ C5 = C = C V 5 hold 5 ( Vhold Voff ( V off )) Q = C V + C V = C V C6 6 off 5 hold 6 C6 V C6 = V off + C C 5 6 V hold V = C 5 err V hold C6 H δεύτερηφάσητουκυκλώματοςverr=2vhold+vstep 59

Algorithmic A/D Converter V C5 = V hold V off V C 6 = V off + C C 5 6 V hold V err = V off H τρίτη φάση του κυκλώματος Verr=2Vhold+Vstep 60

Algorithmic A/D Converter V C5 = 0 V off = V off ΔQ C5 = C V 5 = C hold 5 ( Vhold Voff ( Voff )) Q C6 = C 6 = C V V C6 6 off + C V 5 hold + C V 5 hold V C 6 = V off + 2 C C 5 6 V hold H τέταρτη φάση του κυκλώματος Verr=2Vhold+Vstep 61

Algorithmic A/D Converter V V C5 C6 V = ± 2 = V off ref V C + 2 C off 5 6 V hold V err = V off H πέμπτη φάση του κυκλώματος Verr=2Vhold+Vstep 62

Algorithmic A/D Converter V C6 = 0 V offset = V offset ΔQ C6 = 2C V 5 = C ( V 6 hold offset ( V offset C ) + 2 C 5 6 V hold ) Q C5 V ref = C5 ( ± Voffset) + 2C5 2 V hold V ref VC = ± Voffset + 2V 5 2 hold H έκτη φάση του κυκλώματος Verr=2Vhold+Vstep. V = V + V = 2V ± err C5 offset hold V ref 2 63

Algorithmic A/D Converter Συμπεράσματα για το κύκλωμα που υλοποιεί την συνάρτηση Verr = 2Vhold + Vstep: Η λειτουργία του κυκλώματος δεν εξαρτάται από το μέγεθος της χωρητικότητας των πυκνωτών και από το ταίριασμά τους (matching) matching). Η λειτουργία του κυκλώματος δεν εξαρτάται από την τάση εκτροπής του τελεστικού ενισχυτή. 64

Algorithmic A/D Converter Χαρακτηριστικά της υλοποίησης. Ανάλυση: Ν =9bit. F CLK = 12.7 KHz. F A/D = 201.5 Hz. V ref = 5 V. 65

Η Λειτουργία του Αλγοριθμικού Μετατροπέα Vin = 2.5 sin(2 π 3.8 t) V. Κανάλι 2: Vin Κανάλι 1: V DAC Η λειτουργία του μετατροπέα για ημιτονοειδή τάση εισόδου. 66

Η Λειτουργία του Αλγοριθμικού Μετατροπέα Τριγωνική τάση εισόδου πλάτους 5V peak to peak και συχνότητας 3.8 Hz. Κανάλι 2: Vin Κανάλι 1: V DAC Η λειτουργία του μετατροπέα για τριγωνική τάση εισόδου. 67

Η Λειτουργία του Αλγοριθμικού Μετατροπέα Vin = 2V H έξοδος του κυκλώματος που υλοποιεί την σχέση Verr=2Vhold+Vstep. 68

ΥΛΟΠΟΙΗΣΗ

ΤΟ ΣΥΣΤΗΜΑ ΤΩΝ ΜΕΤΑΤΡΟΠΕΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΓΗΣ ALGORITHMIC ADC ΤΜΗΜΑ ΔΙΕΠΑΦΗΣ ΜΟΝΑΔΑ ΕΛΕΓΧΟΥ DUAL-SLOPE ADC TRACKING AND SUCCESSIVE APPROXIMATION ADC 70

ΗΛΕΚΤΡΟΝΙΚΟ ΣΧΕΔΙΟ ΤΜΗΜΑ ΔΙΕΠΑΦΗΣ ΑΝΑΛΟΓΙΚΟ ΤΜΗΜΑ ΤΩΝ ΜΕΤΑΤΡΟΠΕΩΝ FPGA 71

ΚΑΤΑΣΚΕΥΗ Η δοκιμαστική κάρτα των μετατροπέων A/D (Top View). 72

ΚΑΤΑΣΚΕΥΗ Η δοκιμαστική κάρτα των μετατροπέων A/D (Bottom View). 73