Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2

Σχετικά έγγραφα
Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3

ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ

ΑΣΚΗΣΗ 1η ΤΟ ΠΕΡΙΒΑΛΛΟΝ ΣΧΕΔΙΑΣΗΣ QUARTUS II ΤΗΣ ALTERA

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Οδηγίες αναβάθμισης χαρτών

DIOSCOURIDES VERSION

TIM Εικονικό Περιβάλλον Συνεργασίας Οδθγίεσ Χριςθσ

ΕΠΑΝΕΚΔΟΗ ΣΙΜΟΛΟΓΙΩΝ ΙΑΝΟΤΑΡΙΟΤ (version )

Σύ ντομος Οδηγο ς χρη σης wikidot για τα projects

Οδηγός χρήσης Blackboard Learning System για φοιτητές

Οδηγίεσ για τη ςφνδεςη του υπολογιςτή ςασ ςτουσ προβολείσ των αιθουςών Ι 1, Ι 2, Ι 3 και του 10 ου ορόφου

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2

Πωσ δθμιουργώ φακζλουσ;

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε)

Visual C Express - Οδηγός Χρήσης

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

1 Εγκατϊςταςη λογαριαςμού

Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430

Οδηγίες εγκατάστασης και χρήσης του Quartus

assessment.gr USER S MANUAL (users)

ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ

Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό. Βαγγζλθσ Οικονόμου

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 3: Εργονομία

Συγγραφι επιςτθμονικισ εργαςίασ. Η κορφφωςθ τθσ προςπάκειάσ μασ

ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Εισαγωγή στη Γλώσσα VHDL

eorder Eγχειρίδιο Χρήσης

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν

ΡΥΘΜΙΕΙ για περιοριςμένο χρήςτη (limited user)

Εγχειρίδιο Χρήςησ Αυτοματοποίηςησ Κυκλώματοσ Πληρωμών ΟΛΠ μζςω e-banking VERSION <Final>

VHDL Εισαγωγικές έννοιες

ΔΙΑΔΙΚΑΙΑ ΚΑΙ ΡΤΘΜΙΕΙ ΓΙΑ ΤΝΔΕΗ ΣΟ INTRANET ΣΟΤ ΕΚΕΣΑ-ΙΣΧΗΔ

Seventron Limited. Οδηγίες χρήσης EnglishOnlineTests.com

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Περιγραφή ψηφιακών συστημάτων με τη γλώσσα VHDL και ανάπτυξη σε προγραμματιζόμενες ολοκληρωμένες

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1

Διαδικαςία Προγράμματοσ Ωρομζτρθςθσ. (v.1.0.7)

Διαχείριςη Αριθμοδεικτών (v.1.0.7)

Εισαγωγικές έννοιες. Αντώνησ Κ Μαώργιώτησ

Joomla! - User Guide

Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ

1. Κατέβαςμα του VirtueMart

Συντακτικός Αναλυτής. Διαλέξεις στο μάθημα: Μεταφραστές Γιώργος Μανής

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

GNSS Solutions guide. 1. Create new Project

Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ

ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO

ΟΝΟΜΑΣΟΛΟΓΙΑ ΠΑΡΑΜΕΣΡΩΝ ΓΙΑ ΠΡΟΑΡΜΟΜΕΝΕ ΑΝΑΦΟΡΕ. παραμζτρου> (Εμφανίηεται ςαν Caption ςτθν φόρμα των φίλτρων).

Σμιμα Marketing & Sales

Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου

ΕΝΟΤΗΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Αρχεία - Φάκελοι

Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5

ΦΤΛΛΟ ΕΡΓΑΙΑ ΤΠΗΡΕΙΑ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΥΤΔΡΟΜΕΙΟΤ

Διδάςκων: Κωνςταντίνοσ τεφανίδθσ

Δίκτυα Μεταγωγισ Δεδομζνων

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

Σφντομεσ Οδθγίεσ Χριςθσ

Οδθγόσ εγκατάςταςθσ προγραμμάτων για ανάπτυξθ εφαρμογών ςε iphone

Οδηγίες Πρόζβαζης ζηο EndNote Web. Πρόζβαζη ζηο EndNote Web

Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο

Λειτουργικά υςτιματα Windows XP

Ηλεκτρονικι Υπθρεςία Ολοκλθρωμζνθσ Διαχείριςθσ Συγγραμμάτων και Λοιπϊν Βοθκθμάτων

Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ

Εισαγωγή Νέου Παγίου

Σμιμα Marketing & Sales

Τπθρεςία Απομακρυςμζνθσ Πρόςβαςθσ VPN Οδθγόσ Εγκατάςταςθσ και Διαμόρφωςθσ για Λειτουργικά υςτιματα Windows (XP, Vista, 7 και 8)

ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΡΤΘΜΙΗ ΔΩΡΕΑΝ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΧΤΔΡΟΜΕΙΟΤ ΣΟ GOOGLE (G-MAIL)

ΜΕΣΑΥΗΜΑΣΙΜΟ ΠΑΡΑΓΓΕΛΙΑ(ΩΝ) Ε ΠΑΡΑΣΑΣΙΚΟ ΑΓΟΡΑ

ΥΠΟΒΟΛΗ ΔΙΚΑΙΟΛΟΓΗΤΙΚΩΝ ΕΕΠ & ΕΒΠ με ραντεβού

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox

1. Διαχείριςη ενθεμάτων

SingularLogic Application. Παραμετροποίηση Galaxy Application Server

Εφαρμογή. «Βελτιώνω την πόλη μου» Αιτήματα Ρολιτών. Εγχειρίδιο χρήςησ για τον πολίτη

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ

ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΚΑΤΑΧΩΗΣΗ ΣΧΕΔΙΩΝ ΚΑΙΝΟΤΟΜΙΑΣ ΑΡΟ ΦΟΕΙΣ ΚΑΙΝΟΤΟΜΙΑΣ

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7)

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

ΕΓΦΕΙΡΙΔΙΟ ΑΝΑΛΤΣΙΚΩΝ ΟΔΗΓΙΩΝ ΓΙΑ ΣΗΝ ΗΛΕΚΣΡΟΝΙΚΗ ΑΙΣΗΗ ΤΝΣΑΞΙΟΔΟΣΗΗ ΣΟΤ ΕΥΚΑ

Διορκώνω τισ εργαςίεσ των ςυμφοιτθτών μου

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΗ ΗΛΕΚΣΡΟΝΙΚΟΤ ΤΣΗΜΑΣΟ ΑΡΧΑΙΡΕΙΩΝ

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

«Δεσ την Ψηφιακά 2.0»

ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ. Ειρινθ Φιλιοποφλου

Σφςτημα Κεντρικήσ Υποςτήριξησ τησ Πρακτικήσ Άςκηςησ Φοιτητών ΑΕΙ

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

-Η ςυςκευι που κρατάτε ςτα χζρια ςασ είναι ζτοιμθ προσ χριςθ. Τοποκετιςτε τισ μπαταριζσ ςτθν ςυςκευι με ςωςτι πολικότθτα.

Δίκτυα Υπολογιςτϊν 2-Rooftop Networking Project

Πανεπιστήµιο Θεσσαλίας

Διαδικαζία Διατείριζης Εκηύπωζης Ιζοζσγίοσ Γενικού - Αναλσηικών Καθολικών. (v )

ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ. Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο

Transcript:

Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology) Computer and Electronic Circuits Technology MSc in Science - Agricultural Engineering

Ειςαγωγι ςτο εργαλείο λογιςμικοφ Quartus ΙΙ τθσ Altera Το εργαλείο Quartus II παρζχει ζνα περιβάλλον ςχεδιαςμοφ ςυςτθμάτων ανεξάρτθτο αρχιτεκτονικισ, με πολλαπλζσ πλατφόρμεσ. Δίνει τθν δυνατότθτα ολοκλθρωμζνου ςχεδιαςμοφ ςυςτθμάτων, γριγορθσ επεξεργαςίασ και άμεςου προγραμματιςμοφ των ςυςκευϊν τθσ Altera -Cyclone. Καλφπτει όλο το φάςμα λογικοφ ςχεδιαςμοφ, με δυνατότθτεσ δθμιουργίασ πολφπλοκων και ιεραρχικϊν ςχεδιαςμϊν, δυναμικι ςφνκεςθ, διαμζριςθ, λειτουργικι και χρονικι εξομοίωςθ, χρονικι ανάλυςθ, αυτόματο εντοπιςμό λακϊν, προγραμματιςμό ςυςκευϊν και επιβεβαίωςθ τθσ λειτουργίασ τουσ. Γίνονται αποδεκτοί ςχεδιαςμοί ςε VHDL, Verilog, AHDL (Altera HDL) κακϊσ και ςχθματικά διαγράμματα που δθμιουργοφνται από τον ειδικό γραφικό Editor του εργαλείου. Επίςθσ μπορεί να επικοινωνιςει και με άλλα εργαλεία χρθςιμοποιϊντασ αρχεία netlist τφπου Edif ι Xilinx.

Quartus ΙΙ τθσ Altera Ο compiler είναι μία από τισ ιςχυρζσ δυνατότθτεσ του Quartus και δίνει τθν καλφτερθ δυνατι υλοποίθςθ του ςυςτιματοσ. Με δυνατότθτεσ αυτόματου εντοπιςμοφ των λακϊν ςτον αρχικό ςχεδιαςμό ι ςτθν υλοποιθμζνθ μορφι του ςτο FPGA κακϊσ και με τθν εκτεταμζνθ τεκμθρίωςθ λακϊν διευκολφνει κατά πολφ τθν διαδικαςία ςχεδιαςμοφ.

Σθμαντικά βιματα του οδθγοφ

Διαδικαςία Σχεδιαςμοφ Τα ςτάδια δθμιουργίασ ενόσ ςχεδιαςμοφ από τθν αρχι ζωσ και τθν ολοκλιρωςι του είναι τα ακόλουκα: 1. Δθμιουργία αρχείου ςχεδιαςμοφ ι ιεραρχίασ ςχεδιαςμϊν (VHDL, Verilog, Graphic Design κλπ). 2. Επιλογι μίασ προγραμματιηόμενθσ ςυςκευισ (θ ςυςκευι που κα χρθςιμοποιοφμε είναι θ Cyclone IV E EP4CE115F29C8), Εμείσ κα βάλουμε ςτα αρχικά ςτάδια τθν Cyclone IΙ E EP2C5F256C8 3. Σφνκεςθ του ςχεδιαςμοφ με παραγωγι χρονικισ πλθροφορίασ και εκτζλεςθ χρονικισ εξομοίωςθσ και χρονικισ ανάλυςθσ. 4. Προγραμματιςμόσ τθσ ςυςκευισ με χριςθ τθσ ειδικισ προγραμματιςτικισ μονάδασ (board).

Ειςαγωγι και αποςφαλμάτωςθ κϊδικα VHDL Επιλζξτε από το menu File New ϊςτε να εμφανιςτεί θ παρακάτω λίςτα επιλογϊν. Επιλζξτε από τθν ομάδα Design Files το VHDL File και πατιςτε OK. Ζνα παράκυρο ειςαγωγισ κϊδικα VHDL κα ανοίξει ςτο δεξί μζροσ τθσ οκόνθσ ςασ με το όνομα Vhdl1.vhd.

Ειςαγωγι και αποςφαλμάτωςθ κϊδικα VHDL Επιλζξτε από το Menu File --> Save as και επιλζξτε το όνομα του αρχείου όπου κα αποκθκευκεί ο κϊδικασ VHDL που κα γράψετε. Δϊςτε το όνομα: myaskisi1.vhd Για να μθ δθμιουργοφνται προβλιματα ςε επόμενα βιματα τθσ άςκθςθσ να χρθςιμοποιείτε πάντα το ίδιο όνομα για το project και το top-level entity όταν ορίηετε το project, το αρχείο VHDL και το entity μζςα ςτο αρχείο VHDL.

Ειςαγωγι και αποςφαλμάτωςθ κϊδικα VHDL Γράψτε ςτο αρχείο αυτό τον παρακάτω κϊδικα VHDL: library ieee; use ieee.std_logic_1164.all; entity myaskisi1 is port (x1, x2 : in std_logic; f : out std_logic); end entity; architecture ask1_behav of myaskisi1 is begin f <= (x1 and x2) or (not x2 ); end architecture;

Ειςαγωγι κϊδικα VHDL (1) Όταν ολοκλθρϊςετε τθν ειςαγωγι του κϊδικα VHDL πρζπει να βεβαιωκείτε ότι δεν υπάρχουν ορκογραφικά ι ςυντακτικά λάκθ ςτο πρόγραμμα. H πλιρθσ μετάφραςθ μπορεί να διαρκεί αρκετό χρόνο το QUARTUS-II δίνει τθ δυνατότθτα να αναλφςετε τον κϊδικα και να προςδιορίςετε τυχόν λάκθ εκτελϊντασ μόνο το πρϊτο βιμα. Από το menu Processing επιλζξτε Start και ακολοφκωσ Start Analysis and Synthesis.

Ειςαγωγι κϊδικα VHDL (2) Η διαδικαςία αυτι αναλαμβάνει τθ μετάφραςθ του προγράμματόσ ςασ (compilation) και μόλισ ολοκλθρωκεί εμφανίηει ςτθν οκόνθ ζνα πλθροφοριακό μινυμα για το αν ιταν επιτυχισ θ διαδικαςία ι όχι. Στο κάτω μζροσ τθσ οκόνθσ εμφανίηονται διάφορα μθνφματα τα οποία χωρίηονται ςε τρεισ κατθγορίεσ: πλθροφοριακά (info) με πράςινα γράμματα, προειδοποιθτικά (warning) με μπλε γράμματα, και ςφάλματα (errors) με κόκκινα γράμματα. Αν ο κϊδικασ περιζχει ςφάλματα θ διαδικαςία ανάλυςθσ ςταματάει και αναφζρεται ο ςυνολικόσ αρικμόσ των ςφαλμάτων.

Ειςαγωγι κϊδικα VHDL (3) Όταν ολοκλθρϊςετε τθ διόρκωςθ των ςφαλμάτων πρζπει να προχωριςετε ςε πλιρθ μετάφραςθ του κϊδικα. Για να ανοίξετε το παράκυρο του μεταφραςτι επιλζξτε από το pull-down menu Processing --> Start Compilation Όταν ο Compiler ολοκλθρϊςει τθ μετάφραςθ, βλζπετε ςτθν οκόνθ το Compilation Report ςτο οποίο δίνονται πλθροφοριακά και ςτατιςτικά ςτοιχεία για τθ διαδικαςία τθσ μετάφραςθσ του κυκλϊματοσ. Το παράκυρο αυτό μπορείτε να το ανοίξετε και από το menu Processing --> Compilation Report.

Σθμαντικά λάκθ (1) Error: Top-level design entity "Lab4And" is undefined Το αρχείο vhdl πρζπει να είναι το ίδιο όνομα με τθν Entity Αλλιϊσ κα πρζπει να αλλάξουμε τθν ρφκμιςθ ςτο Assignents-> EDA Tools Settings /-> General /-> Top-level entity

Σθμαντικά λάκθ (2) Αν χρθςιμοποιθκεί ο Cyclone IV E EP4CE115F29C8 μπορεί να εμφανίςει πρόβλθμα ςε Error: The core supply voltage value of '1.0V' is illegal for the currently selected part Λφςθ: 1. Choose File->open and open the.qsf file 2. set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.0V 3. Modify the 1.0V to 1.2V and then click save 4. Recompile your design. Επίςθσ χρειάηεται το ModelSim ςαν Third Party Simulator Αλλιϊσ μπορεί να χρθςιμοποιθκεί ο Cyclone IΙ E EP2C5F256C8