Η ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ & ΟΙ ΕΞΕΛΙΞΕΙΣ ΤΗΣ

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Η ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ & ΟΙ ΕΞΕΛΙΞΕΙΣ ΤΗΣ"

Transcript

1 Η ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ & ΟΙ ΕΞΕΛΙΞΕΙΣ ΤΗΣ προσέγγιση top down στην κατασκευή νανοδομών Ε. Κ. Παλούρα Φυσική επιφανειών & εφαρμογές ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ Για την κατασκευή διατάξεων, π.χ. τρανζίστορ, απαιτείται μία σειρά από διαδοχικές διεργασίες η 3D επαλληλία των οποίων διαμορφώνεται με τη φωτολιθογραφία Ανάπτυξη υλικού (σε πολυστρωματικές δομές): οξείδωση, εξάτμιση, CVD, sputtering Αφαίρεση υλικού: υγρή ή ξηρή εγχάραξη (χημική χάραξη) Τροποποίηση: εισαγωγή προσμίξεων, εμφύτευση ιόντων, ανόπτηση Διαμόρφωση (patterning) δηλ. χωρικός ορισμός των διαφορετικών περιοχών της διάταξης Προστασία: πχ LPCVD Si 3 N 4 Η φωτολιθογραφία χρησιμοποιείται για την κατασκευή 3D δομών οι οποίες 2 έχουν περιοδική διαμόρφωση της χημικής σύστασης in-plane και σε διαδοχικά επίπεδα (out of plane) 1

2 ΤΙ ΕIΝΑΙ Η ΦΩΤΟΛΙΘΟΓΡΑΦIΑ?-1 Είναι η μεταφορά γεωμετρικών σχημάτων από μία μάσκα σε ένα λεπτό υμένιο φωτοευπαθούς ρητίνης που επικαλύπτει την λεία επιφάνεια ενός wafer ημιαγωγού γ Τα γεωμετρικά σχήματα καθορίζουν διάφορες περιοχές ενός ολοκληρωμένου κυκλώματος όπως περιοχές για εμφύτευση, για ηλεκτρικές επαφές κλπ., σε διαδοχικά εγκάρσια επίπεδα μιας πολυεπίπεδης διάταξης Τα γεωμετρικά σχήματα δεν είναι μόνιμα στοιχεία του κυκλώματος αλλά με διεργασίες χημικής χάραξης μεταφέρονται στα υποκείμενα στρώματα της δομής. Η φωτολιθογραφία συνδυάζει όλες τις διεργασίες που προαναφέρθηκαν (ανάπτυξη, αφαίρεση, τροποποίηση & διαμόρφωση υλικού), και είναι απαραίτητη για την κατασκευή πολύπλοκων δομών. 3 ΤΙ ΕΙΝΑΙΗΦΩΤΟΛΙΘΟΓΡΑΦIΑ??-2 Η λιθογραφία εφευρέθηκε το 1796 ως μέθοδος εκτύπωσης με την χρήση μελάνης, μεταλλικών πλακών και χαρτιού Στην τεχνολογία των ημιαγωγών η φωτολιθογραφία χρησιμοποιεί φως για την μεταφορά του ειδώλου από την μάσκα σε υπόστρωμα ημιαγωγού. Άλλες μέθοδοι έκθεσης της ρητίνης χρησιμοποιούν δέσμη ηλεκτρονίων, ακτίνες Χ, φως στο μακρύ υπεριώδες (XUV) και extreme UV (EUV) Σημαντικοί παράγοντες επιτυχίας: ο καθαρός θάλαμος, οι φωτοευπαθείς ρητίνες η ευθυγράμμιση των μασκών στα διαδοχικά στάδια της ολοκλήρωσης (κατασκευής του κυκλώματος) το μήκος κύματος λ του φωτός 4 2

3 Ο ΚΑΘΑΡΟΣ ΘΑΛΑΜΟΣ -1 Η φωτολιθογραφία γίνεται σε καθαρούς θαλάμους στους οποίους ο συνολικός αριθμός σωματιδίων ανά μονάδα όγκου, η θερμοκρασία και η υγρασία ελέγχονται αυστηρά. Παράδειγμα: Θάλαμος κλάσης 100 μπορεί να περιέχει 100 σωματίδια, με διάμετρο 0,5μm, ανά κυβικό μέτρο αέρα (4 τάξεις μεγέθους μικρότερη συγκέντρωση από τον αέρα ενός μη ελεγχόμενου δωματίου). Στην περιοχή της λιθογραφίας απαιτείται καθαρός θάλαμος κλάσης ΤΟ «ΑΠΟΤΥΠΩΜΑ» ΤΗΣ ΘΕΤΙΚΗΣ ΚΑΙ ΑΡΝΗΤΙΚΗΣ ΡΗΤΙΝΗΣ-1 Η θετική ρητίνη προστατεύει το κομμάτι του υλικού που θέλουμε να διατηρήσουμε. Εκτίθενται σε φως τα σημεία που πρέπει να αφαιρεθούν από το υποκείμενο υλικό. Η αρνητική ρητίνη: τα υλικά της εμφάνισης απομακρύνουν μόνον τα κομμάτια που δεν έχουν εκτεθεί στο UV. 6 3

4 ΟΙ ΘΕΤΙΚΕΣ ΦΩΤΟΕΥΠΑΘΕΙΣ ΡΗΤΊΝΕΣ Πριν από την έκθεση η θετική φωτοευπαθής ρητίνη είναι αδιάλυτη στα υγρά εμφάνισης. Εκτίθενται σε φως τα σημεία που πρέπει να αφαιρεθούν από το υποκείμενο υλικό. Η έκθεση αλλάζει την χημική δομή της φωτοευπαθούς η οποία διαλύεται στα υγρά της εμφάνισης & αφήνει εκτεθειμένα παράθυρα στο υποκείμενο υλικό. Επομένως η μάσκα είναι διαμορφωμένη με το ακριβές πρότυπο που πρέπει να δημιουργηθεί επάνω στο υπόστρωμα ΟΙ ΑΡΝΗΤΙΚΕΣ ΦΩΤΟΕΥΠΑΘΕΙΣ ΡΗΤΊΝΕΣ Aποτελούνται από συνδυασμό 2 συστατικών: ενός πολυμερούς και μίας φωτοευπαθούς ένωσης. Με την έκθεση στο UV στο πολυμερές συμβαίνει πολυμερισμός αύξηση του μοριακού βάρους και το καθιστά αδιάλυτο στα υγρά εμφάνισης. Τα υλικά της εμφάνισης απομακρύνουν μόνον τα κομμάτια που δεν έχουν εκτεθεί στο UV. Οι μάσκες για αρνητικές ρητίνες περιέχουν το αντίστροφο (το 7 «αρνητικό») του προτύπου που πρέπει να μεταφερθεί στο υπόστρωμα. To «άπλωμα» της ρητίνης γίνεται με φυγοκέντρηση. Τυπικό πάχος για Si : 1-2μm H βάση περιστρέφεται με rpm για sec Το πάχος της ρητίνης: όπου t kp 2 / w k σταθερά της φυγοκέντρου p το περιεχόμενο της ρητίνης σε στερεά w η ταχύτητα περιστροφής σε rpm/

5 Θετική ρητίνη: Στην ενέργεια Ε Τ (που είναι ανάλογη της ευαισθησίας) η εκτεθειμένη θετική φωτοευπαθής είναι πλήρως διαλυτή στα υγρά εμφάνισης. Τι συμβαίνει για Ε<Ε Τ? Παρατηρούμε ότι οι ακμές του ειδώλου δεν είναι οξείες (sharp) λόγω φαινομένων περίθλασης. Η ευαισθησία της θετικής φωτοευπαθούς περιγράφεται από την παράμετρο γ : 1 E ln T E1 Όσο μεγαλύτερο είναι το γ τόσο οξύτερα (πιο sharp) είναι τα είδωλα Η αρνητική φωτοευπαθής παραμένει αδιάλυτη όταν εκτεθεί σε εέρεα>ε ενέργεια Τ. Τι συμβαίνει για Ε<Ε Τ? Η παράμετρος γ για αρνητική ρητίνη ορίζεται ως: 1 E ln 1 9 ET Μάσκες για φωτολιθογραφία: γυάλινες πλάκες με μεταλλική επικάλυψη π.χ. Cr Σχεδιάζονται σε μέγεθος 100 έως 2000 φορές μεγαλύτερο το κυκλώματος Η τελική μάσκα κατασκευάζεται υπό σμίκρυνση Για την κατασκευή της μάσκας σε κυκλώματα VLSI χρησιμοποιούνται προγράμματα CAD και το κύκλωμα προβάλλεται κατ ευθείαν στην ρητίνηη Σημείο προσοχής: ατέλειες που δημιουργούνται κατά την κατασκευή ή χρήση της μάσκας Η απόδοση (Υ) ορίζεται ως ο λόγος των λειτουργικών chips προς τον συνολικό αριθμό των chips στο wafer. Κατά προσέγγιση DA Y e όπου D ο αριθμός των καταστροφικών ατελειών ανά μονάδα επιφάνειας και Α η επιφάνεια ενός κυκλώματος (chip) Εάν το D είναι σταθερό για όλα τα masking levels, π.χ. για Ν=10 διαδοχικά επίπεδα φωτολιθογραφίας (masking levels), τότε NDA Y e 10 5

6 Απόδοση διεργασίας που περιλαμβάνει 10 βήματα φωτολιθογραφίας συναρτήσει του μεγέθους του Chip και με παράμετρο τη πυκνότητας των ατελειών ανά λιθογραφικό στάδιο 11 Μέθοδοι έκθεσης o o Η διακριτική ικανότητα ελέγχεται από το λ και το z Πρόβλημα: καταστροφή της μάσκας Η διακριτική ικανότητα επηρεάζεται από τα λ και το αριθμητικό άνοιγμα του φακού 12 Εκτύπωση υπό σμίκρυνση Μάσκα προστατευμένη 6

7 Η μάσκα σε επαφή με το υπόστρωμα (contact printing): Λόγος αναπαραγωγής του ειδώλου 1:1 Πλεονέκτημα: υψηλή διακριτική ικανότητα <0,5μm Μειονέκτημα: εύκολη καταστροφή μάσκας από σωματίδια σκόνης που βρίσκονται στην επιφάνεια του υποστρώματος και μπορούν να προκαλέσουν την δημιουργία ατελειώνσεεπόμενα βήματα λιθογραφίας Η μάσκα απέχει από το υπόστρωμα 10-50μm (proximity printing). Πλεονέκτημα: η μέθοδος είναι απαλλαγμένη από προβλήματα που σχετίζονται με μόρια σκόνης Μειονέκτημα: περίθλαση στα όρια αδιαφανών περιοχών της μάσκας, δημιουργία κροσσών φως περνάει στην περιοχή της σκιάς και η διακριτική ικανότητα 0.5 μm στο ορατό και πολύ καλύτερη στην λιθογραφία ακτίνων Χ 13 Η μάσκα απέχει από το υπόστρωμα 10-50μm (proximity printing) Το μικρότερο εύρος γραμμής που μπορεί να τυπωθεί είναι l m l m zz όπου λ το μήκος κύματος του φωτός και z το χάσμα ανάμεσα στο υπόστρωμα και την μάσκα (περιλαμβάνει και το πάχος της ρητίνης). Επομένως μείωση των λ & z συμβάλει στην βελτίωση της διακριτικής ικανότητας Παράδειγμα λ=0,4μm & z=50μm l m =4.5μm λ=0,25 μm (deep UV) & z=15μm l m =2μm Πρόβλημα: παρουσία σωματιδίων σκόνης με διάμετρο > g μπορεί να καταστρέψει την μάσκα. 14 7

8 Εκτύπωση με προβολή Ο λόγος αναπαραγωγής του ειδώλου μπορεί να είναι 10:1 τα σχήματα επάνω στην μάσκα μπορούν να είναι μεγαλύτερα ευκολότερη κατασκευή της μάσκας χωρίς ατέλειες. Πλεονέκτημα: ιακριτική ικανότητα 0,2μm στο βαθύ UV Μειονέκτημα: περίπλοκο και ακριβό οπτικό σύστημα Η διακριτική ικανότητα συστημάτων προβολής l m είναι συνάρτηση του μ.κ. λ και του αριθμητικού ανοίγματος ΝΑ l m NA NA n sin Ο δείκτης διάθλασης του μέσου n (συνήθως αέρας και n=1) και η γωνία θ ορίζoνται όπως στο σχήμα 15 Σχηματικό διάγραμμα απλού συστήματος προβολής Το βάθος πεδίου/εστίασης lm 2 lm 2 n z tan sin 2( NA) 2 όπου l m NA Η διακριτική ικανότητα l m βελτιώνεται με του λ ή του ΝΑ Όμως του ΝΑ επιδεινώνεται το βάθος εστίασης η βελτίωση της διακριτικής ικανότητας γίνεται με μείωση του λ. 16 8

9 Επίδραση φαινομένων περίθλασης-σύγκριση μεθόδων έκθεσης To προφίλ της έντασης που περνάει μέσα από τη μάσκα και φτάνει στην φωτοευπαθή εξαρτάται από τη γεωμετρία έκθεσης Σε επαφή 17 Ιστορική εξέλιξη της φωτολιθογραφίας Στόχος: Βελτίωση της διακριτικής ικανότητας Αύξηση του αριθμητικού ανοίγματος των οπτικών στοιχείων Μείωση του λ 1985: Λάμπα Hg (365 nm) 2002: laser ArF (deep UV 193 nm) 2011: plasma Sn (Tin-κασσίτερος) που διεγείρεται από CO 2 laser (Extreme UV 13.5 nm) Η απόδοση ενός οργάνου φωτολιθογραφίας περιγράφεται από 3 παραμέτρους: Την διακριτική ικανότητα Την ακρίβεια εγγραφής (registration): μέτρο της ακρίβειας με την οποία διαδοχικές μάσκες μπορούν να ευθυγραμμιστούν ως προς δομές που κατασκευάστηκαν σε προηγούμενα στάδια Την παραγωγικότητα: αριθμός υποστρωμάτων που εκτίθενται ανά ώρα σε δεδομένο επίπεδο μάσκας 18 9

10 Η ολοκλήρωση της φωτολιθογραφίας περιλαμβάνει τα εξής βήματα 1. Σχολαστικός καθαρισμός της επιφάνειας του υποστρώματος 2. Ανάπτυξη υμενίου που λειτουργεί ως μάσκα (SiO 2 ) 3. Επικάλυψη της επιφάνειας με φωτοευπαθή ρητίνη (με φυγοκέντρηση) 4. Ανόπτηση 1 (soft baking): οι διαλύτες απομακρύνονται από την ρητίνη και η ρητίνη γίνεται φωτοευπαθής. Το πάχος της ρητίνης μειώνεται κατά 25%. Ελλιπές Pre-bake αύξηση του χρόνου εμφάνισης. Ενδεικτικές συνθήκες: o C για 20min σε φούρνο o C για 45 sec σε hot plate (ταχύτερη, καλύτερα ελεγχόμενη αποφεύγεται η παγίδευση διαλύτη) Λάμπες IR κλπ Σχολαστική ευθυγράμμιση της μάσκας 6. Έκθεση 7. Εμφάνιση 8. Ανόπτηση 2 (postbake ή hard baking): Τελικό στάδιο της φωτολιθογραφίας. Σταθεροποιεί, προκαλεί σκλήρυνση της ρητίνης, βελτιώνει την πρόσφυσή της στην επιφάνεια του υποστρώματος, και απομακρύνει ίχνη των διαλυτών & υγρών εμφάνισης. 9. Σχολαστικός καθαρισμός του υποστρώματος Αύξηση της θερμοκρασίας ή του χρόνου του postbake πιο δύσκολη απομάκρυνση της ρητίνης 20 10

11 Απομάκρυνση ρητινών Plasma etching (ξηρή χημική χάραξη) σε Ο 2. Με οργανικούς διαλύτες Πχ. Για θετικές ρητίνες: ακετόνη, τριχλωροαιθυλένιο Π.χ. Για αρνητικές ρητίνες: μεθυλ αιθυλ-κετόνη (CH 3 COC 4 H 9 ) Καθαρισμός της επιφάνειας του υποστρώματος-1 από: Σκόνη από διαδικασίες χάραξης ή κοπής Σκόνη από την ατμόσφαιρα : η φωτολιθογραφία γίνεται σε καθαρό θάλαμο Κατάλοιπα φωτοευπαθών από προηγούμενα βήματα φωτολιθογραφίας : ελαχιστοποιείται με την χημική χάραξη σε πλάσμα οξυγόνου Βακτήρια : ελαχιστοποιείται με την χρήση απιονισμένου Η 2 Ο ά ιάφορα υμένια : Κατάλοιπα διαλυτών ή νερού ή φωτοευπαθών ή υγρών εμφάνισης ή ελαιώδεις ουσίες Σιλικόνη 21 Καθαρισμός της επιφάνειας του υποστρώματος-2 Απομάκρυνση λιπωδών ουσιών 2-5 min σε ακετόνη σε λουτρό υπερήχων 2-5 min σε μεθανόλη σε λουτρό υπερήχων 2-5 min σε DI H 2 O σε λουτρό υπερήχων 30 sec ξέπλυμα σε ροή DI H 2 O Στέγνωμα: τα υποστρώματα με φυγοκέντρηση, τα εργαλεία σε ροή Ν 2 Για λεκέδες που επιμένουν: λάδι, γράσο, κερί 2-5min σε τριχλωροαιθάνιο (TCA) ή τριχλωρο αιθυλένιο (TCE), σε λουτρό υπερήχων πριν από την προηγούμενη διαδικασία Πηγές κινδύνου TCE καρκινογένεση, TCA λιγότερο επικίνδυνο, ακετόνη εύφλεκτη Η μεθανόλη απορροφάται από το δέρμα και είναι τοξική 22 11

12 Εξελίξεις στην φωτολιθογραφία: Σήμερα είναι εφικτή η κατασκευή δομών 32nm με λ=193nm. Περαιτέρω βελτίωση της διακριτικής ικανότητας με phase-shift masks (δεν τις συζητούμε) & την μέθοδο της εμβάπτισης Immersion (εμβάπτισης/βύθισης) lithography Συνίσταται στην παρεμβολή υγρού μέσου με δείκτη διάθλασης >1 μεταξύ του τελικού φακού και του υποστρώματος. Η διακριτική ικανότητα αυξάνεται κατά παράγοντα ίσο με τον δδ του ρευστού. Γιατί?? (σήμερα χρησιμοποιείται πολύ καθαρό H 2 O που στα 193nm έχει δδ 1,44) l m NA NA n sin Επιτυγχάνονται βελτιώσεις σε σύγκριση με τα «ξηρά» συστήματα: της διακριτικής ικανότητας 30-40% του βάθους εστίασης κατά παράγοντα 2x. Η μέθοδος σημείωσε επιτυχία επειδή εισήχθη εγκαίρως στην βιομηχανία 23 (π.χ. IBM, AMD) μεταξύ των ορίων διακριτικής ικανότητας 65nm και 45nm. Οι επόμενες γενιές της λιθογραφίας Η φωτολιθογραφία χρησιμοποιείται εκτενώς γιατί έχει Μεγάλη παραγωγικότητα γ Καλή διακριτική ικανότητα Χαμηλό κόστος Είναι εύκολη στην εφαρμογή Για την κατασκευή διατάξεων στην νανοκλίμακα έχουν αναπτυχθεί νέες τεχνικές, π.χ. e-beam litho, extreme UV, X-ray litho & ion-beam lithography

13 Φωτολιθογραφία στο extreme UV (13.5nm) Πηγή: πλάσμα διεγειρόμενο από laser ή synchrotron (10-14 nm) Επιτυγχάνει μείωση εύρους γραμμών στα 30 nm χωρίς μείωση της παραγωγικότητας. Τεχνολογικές προκλήσεις: Το φως στο EUV απορροφάται έντονα απαιτείται κενό & τα οπτικά στοιχεία πρέπει να είναι ανακλαστικά (κάτοπτρα) και όχι διαπερατά (φακοί) απαιτείται σχεδιασμός νέων οπτικών στοιχείων Ακόμη και τα καλύτερα κάτοπτρα με πολυστρωματική επικάλυψη Mo-Si έχουν ανακλαστικότητα 72% στα 13.5nm Ακόμη και πολύ μικρές ανωμαλίες στην επιφάνεια οδηγούν σε έκπτωση του ειδώλου. Ανοχή: οι ανωμαλίες πρέπει να είναι μικρότερες των 2nm σε έκταση 30 cm. Συμβαίνουν απώλειες λόγω απορρόφησης οι πηγές πρέπει να είναι πoλύ ισχυρές (>100 W) Πρέπει να σχεδιαστούν καινούριες ανακλαστικές (& όχι απορροφητικές) μάσκες 25 Φωτολιθογραφία στο extreme UV (13.5nm) Τυπική μάσκα στο EUV 26 13

14 Λιθογραφία ηλεκτρονίων-1 Χρησιμοποιείται σύστημα: SEM στο οποίο διάμετρος της δέσμης των e είναι λίγα nm κατασκευή δομών με πολύ μικρές διαστάσεις επάνω στην ρητίνη Βρίσκει εφαρμογές κυρίως για την κατασκευή μασκών για φωτολιθογραφία ή λιθογραφία ακτίνων Χ, ερευνητικών & πολύπλοκων νανοδομών σε μικρούς αριθμούς. Ρητίνες: πολυμερή με συμπεριφορά παρόμοια με τις ρητίνες για φωτολιθογραφία λθ 27 e-beam Lithography - πλεονεκτήματα εν απαιτείται η χρήση μάσκας Η διακριτική ικανότητα δεν επηρεάζεται από φαινόμενα περίθλασης ( το λ των e με ενέργεια > kev είναι < 0.1 nm) και μπορεί να φτάσει τα 5nm. Η σάρωση της δέσμης γίνεται ηλεκτρονικά υψηλός βαθμός αυτοματοποίησης & ακριβής έλεγχος λειτουργίας Μεγαλύτερο βάθος πεδίου από την φωτολιθογραφία Έχουν επιτευχθεί διακριτικές ικανότητες 100nm και δομές 10nm

15 e-beam Lithography μειονεκτήματα Είναι πολύ βραδεία μικρή παραγωγικότητα: 10 wafers/hr με διακριτική <0.25 μm δεν μπορεί να αντικαταστήσει την φωτολιθογραφία για ταχεία παραγωγή κυκλωμάτων Είναι πολύ ακριβή. Ένα σύστημα στοιχίζει 5-10 ΜUS$ Η διακριτική μειώνεται από φαινόμενα σκέδασης των ηλεκτρονίων (proximity effect) Έχει διακριτική ικανότητα συγκρίσιμη με καλά συστήματα φωτολιθογραφίας Γίνεται σε περιβάλλον κενού αύξηση κόστους & δυσκολίας χειρισμού 29 Λιθογραφία ηλεκτρονίων-2 Proximity effect: Η διακριτική ικανότητα επιδεινώνεται λόγω σκέδασης δευτερογενών e στην ρητίνη που προσβάλλουν την ρητίνη ακόμη και όταν η ενέργεια τους είναι λίγα ev. Το φαινόμενο περιορίζει την ελάχιστη απόσταση μεταξύ διαδοχικών δομών. Παράδειγμα : Τροχιές 100 ηλεκτρονίων με ενέργεια 20 kev σε ρητίνη PMMA πάχους 0.4μm. Τα e κατανέμονται σε όγκο με διάμετρο συγκρίσιμο με το βάθος διείσδυσης (3.5μm) τα οπισθοσκεδαζόμενα e προσβάλουν την ρητίνη αρκετά μm μακριά απότοκέντροτηςδέσμης. ιόρθωση : με σμίκρυνση των δομών η 30 οποία όμως αυξάνει τον χρόνο σάρωσης. 15

16 Λιθογραφία ακτίνων Χ Η πηγή των ακτίνων Χ είναι πηγή synchrotron που δίνει παράλληλη δέσμη με υψηλή λαμπρότητα & λ1nm. ιακριτική ικανότητα 30 nm. Η απεικόνιση γίνεται βηματικά, σε κλίμακα 1:1 στην ατμόσφαιρα ή υπό χαμηλή πίεση σε περιβάλλον He. Μάσκες: Tα περισσότερα υλικά απορροφούν έντονα στο 1nm το υπόστρωμα της μάσκας αποτελείται από λεπτή μεμβράνη υλικού (1-2 μm) με χαμηλό Ζ, π.χ. Si ή SiC. Τα απορροφητικά στοιχεία της μάσκας (με πάχος 0.5μm) αποτελούνται από υλικά υψηλού Ζ, π.χ. Au, W, Ta ή κράματα αυτών. Έκθεση σε γεωμετρία proximity Λιθογραφία ακτίνων Χ πλεονεκτήματα Μικρότερα λ από το UV Υψηλή διακριτική ικανότητα Μπορεί να χρησιμοποιήσει αρνητικές και θετικές φωτοευπαθείς Αμελητέα περίθλαση & μεγάλο βάθος εστίασης Οι μάσκες έχουν μεγαλύτερο χρόνο ζωής από ότι στην φωτολιθογραφία Επιτυγχάνονται δομές με μεγάλο aspect ratio 31 Παράδειγμα δομής με μεγάλο aspect ratio Λιθογραφία ακτίνων Χ μειονεκτήματα Τόσο η πηγή όσο και οι μάσκες είναι πολύ ακριβές. Απαιτεί την ανάπτυξη ειδικών μασκών & ρητινών δύσκολη Οι ακτίνες Χ δεν εστιάζονται εύκολα & δεν μπορούν να χρησιμοποιηθούν φακοί Οι μάσκες απορροφούν 25-35% της προσπίπτουσας ροής πρέπει να ψύχονται. Η ρητίνη πάχους 1μm απορροφά 10% της προσπίπτουσας ροής Η ευθυγράμμιση είναι δύσκολη 32 16

17 Λιθογραφία ιόντων-1 Τα ιόντα έχουν μεγαλύτερη μάζα από τα e και επομένως σκεδάζονται λιγότερο δεν παρατηρούνται φαινόμενα περίθλασης η λιθογραφία ιόντων επιτυγχάνει καλύτερη διακριτική ικανότητα (20-30nm) από την φωτο-, την e-beam & την Χ-ray litho. Κύρια εφαρμογή: επιδιόρθωση μασκών για την οπτική λιθογραφία Πρόβλημα 1: ανάπτυξη φορτίου στο δείγμα διεύρυνση της δέσμης. Πρόβλημα 2: τα ιόντα αλληλεπιδρούν ισχυρά με τον στόχο (π.χ. Ion mixing, amorphization, implantation, sputter etching) Τύποι συστημάτων 1. Σύστημα σάρωσης εστιασμένης δέσμης (π.χ. ιόντα που μπορούν να χρησιμοποιηθούν Ga+, H+). Λειτουργούν όπως τα συστήματα e- beam. 2. Σύστημα που χρησιμοποιεί μάσκα. Χρησιμοποιούνται ελαφρά ιόντα π.χ. 100 kev H +. 2 Λειτουργούν όπως τα συστήματα οπτικής λιθογραφίας. 33 Λιθογραφία ιόντων-2 Proximity effect in e-beam lithography Η λιθογραφία ιόντων δεν πάσχει από φαινόμενα σκέδασης (proximity-effect), όπως φαίνεται από τον υπολογισμό τροχιών 50 Η + ιόντων με ενέργεια 60 kev που εμφυτεύονται σε PMMA και υποστρώματα Au & Si. Η διασπορά των ιόντων σε βάθος 0.4 μm είναι μόνον 0.1μm. Η οπισθοσκέδαση απουσιάζει πλήρως στο PMMA και το Si ενώ είναι πρακτικά αμελητέα στον Au

18 Η διαδικασία κατασκευής ενός n-fet (link) Υπόστρωμα: για την κατασκευή FET με κανάλι τύπου n το υπόστρωμα είναι p-si:b 2. Οξείδωση: Αναπτύσσεται (θερμικά) 100 nm SiO 2 : λειτουργεί ως οξείδιο πύλης & ηλεκτρική μόνωση της πύλης του υποστρώματος. 3. Γίνεται εναπόθεση LPCVD Si 3 N 4 που λειτουργεί ως μάσκα κατά την διάρκεια επόμενου σταδίου οξείδωσης. 4. Φωτολιθογραφία: Το Si 3 N 4 επικαλύπτεται με φωτοευπαθή ρητίνη, η οποία εκτίθεται και εμφανίζεται. Η δομημένη ρητίνη που παραμένει λειτουργεί σαν μάσκα για την εγχάραξη που ακολουθεί. 5. Εγχάραξη: Το εκτεθειμένο Si 3 N 4 απομακρύνεται με ξηρή χημική χάραξη (Reactive Ion Etching) 6. Απομάκρυνση της ρητίνης: γίνεται με υγρή χημεία σε διάλυμα υγρών εμφάνισης 36 18

19 7. Οξείδωση: Το Si 3 N 4 λειτουργεί ως μάσκα κατά την διάρκεια της οξείδωσης η υγρή οξείδωση επιδρά στα εκτεθειμένα παράθυρα του οξειδίου πύλης. Το οξείδιο λειτουργεί ως εγκάρσια μόνωση μεταξύ γειτονικών διατάξεων. 8. Υγρή χημική χάραξη του Si 3 N 4 9. LPCVD ανάπτυξη poly-si : ηλεκτρόδιο πύλης. 10. Φωτολιθογραφία: Το poly-si επικαλύπτεται με φωτοευπαθή ρητίνη που εκτίθεται και εμφανίζεται διαμόρφωση του poly-si Χημική χάραξη: Η ρητίνη λειτουργεί ως μάσκα και η πύλη διαμορφώνεται με ξηρή χημική χάραξη (απομάκρυνση της περίσσειας poly-si) 12. Απομάκρυνση της ρητίνης με υγρή χημεία 13. Οξείδωση: εναπόθεση λεπτού οξειδίου που λειτουργεί ως μονωτής για το ηλεκτρόδιο πύλης και τo επόμενο βήμα κατασκευής της πηγής και του απαγωγού με εμφύτευση ιόντων. 14. Εμφύτευση ιόντων P(τύπου n) για την κατασκευή πύλης και απαγωγού. Το ηλεκτρόδιο πύλης λειτουργεί ως μάσκα κατά την διάρκεια της εμφύτευσης και το εύρος του καθορίζει το μήκος του καναλιού ανάμεσα στην πηγή και τον απαγωγό (self alignment/αυτόευθυγράμμιση) 38 19

20 15. Οξείδωση: Εναπόθεση LPCVD SiO 2 που λειτουργεί ως μονωτικό και έχει καλή stepcoverage. 16. Φωτολιθογραφία & χημική χάραξη: επικάλυψη του SiO 2 με ρητίνη, έκθεση, διαμόρφωση. Χρησιμοποιείται ισότροπη χημική χάραξηπουδίνει στρογγυλεμένες γωνίες. 17. Ανισότροπη χημική χάραξη: χρησιμοποιείται για το άνοιγμα των οπών που θα χρησιμοποιηθούν για την κατασκευή επαφών. 18. Επιμετάλλωση: Οι οπές για τις ηλεκτρικές επαφές γεμίζουν με Al (γκρί) με την μέθοδο sputtering Φωτολιθογραφία: Το Al επικαλύπτεται με ρητίνη (κόκκινη) που εκτίθεται και διαμορφώνεται. 20. Ανισότροπη χημική χάραξη: χρησιμοποιείται για την απομάκρυνση της περίσσειας Al (γκρί) 21. Απομάκρυνση της ρητίνης. Οι επαφές Al παραμένουν για την ενεργοποίηση του τρανζίστορ

21 Συνολικά 8 στάδια ανάπτυξης/προσθήκης υλικού: οξείδωση, LPCVD Si 3 N 4, LPCVD poly-si, εμφύτευση ιόντων, sputtering 9 στάδια απομάκρυνσης υλικού 3 ξεχωριστά στάδια απομάκρυνσης ρητίνης 6 στάδια χημικής χάραξης 4 στάδια φωτολιθογραφίας: κρίσιμη η ευθυγράμμιση της μάσκας στα διαδοχικά στάδια 41 21

Η ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ & ΟΙ ΕΞΕΛΙΞΕΙΣ ΤΗΣ. Ε. Κ. Παλούρα, Καθηγήτρια ΠΜΣ «Φυσική & τεχνολογία υλικών» Τμήμα Φυσικής ΑΠΘ

Η ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ & ΟΙ ΕΞΕΛΙΞΕΙΣ ΤΗΣ. Ε. Κ. Παλούρα, Καθηγήτρια ΠΜΣ «Φυσική & τεχνολογία υλικών» Τμήμα Φυσικής ΑΠΘ Η ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ & ΟΙ ΕΞΕΛΙΞΕΙΣ ΤΗΣ 1 Ε. Κ. Παλούρα, Καθηγήτρια ΠΜΣ «Φυσική & τεχνολογία υλικών» Τμήμα Φυσικής ΑΠΘ ΦΩΤΟΛΙΘΟΓΡΑΦΙΑ Για την κατασκευή διατάξεων, π.χ. τρανζίστορ, απαιτείται μία σειρά από

Διαβάστε περισσότερα

Φασματοσκοπία SIMS (secondary ion mass spectrometry) Φασματοσκοπία μάζης δευτερογενών ιόντων

Φασματοσκοπία SIMS (secondary ion mass spectrometry) Φασματοσκοπία μάζης δευτερογενών ιόντων Φασματοσκοπία SIMS (secondary ion mass spectrometry) Φασματοσκοπία μάζης δευτερογενών ιόντων Ιόντα με υψηλές ενέργειες (συνήθως Ar +, O ή Cs + ) βομβαρδίζουν την επιφάνεια του δείγματος sputtering ουδετέρων

Διαβάστε περισσότερα

ΑΡΧΕΣ ΗΛΕΚΤΡΟΝΙΚΩΝ ΣΤΟΙΧΕΙΩΝ: Τεχνολογία Κατασκευής Ολοκληρωµένων Κυκλωµάτων

ΑΡΧΕΣ ΗΛΕΚΤΡΟΝΙΚΩΝ ΣΤΟΙΧΕΙΩΝ: Τεχνολογία Κατασκευής Ολοκληρωµένων Κυκλωµάτων ΑΡΧΕΣ ΗΛΕΚΤΡΟΝΙΚΩΝ ΣΤΟΙΧΕΙΩΝ: Τεχνολογία Κατασκευής Ολοκληρωµένων Κυκλωµάτων Required Text: Microelectronic Devices, Keith Leaver (6 th Chapter) Τεχνολογία Κατασκευής Ολοκληρωµένων Κυκλωµάτων Si SiO 2

Διαβάστε περισσότερα

ΕΠΙΠΕΔΗ ΤΕΧΝΟΛΟΓΙΑ. αρχικό υλικό. *στάδια επίπεδης τεχνολογίας. πλακίδιο Si. *ακολουθία βημάτων που προσθέτουν ή αφαιρούν υλικά στο πλακίδιο Si

ΕΠΙΠΕΔΗ ΤΕΧΝΟΛΟΓΙΑ. αρχικό υλικό. *στάδια επίπεδης τεχνολογίας. πλακίδιο Si. *ακολουθία βημάτων που προσθέτουν ή αφαιρούν υλικά στο πλακίδιο Si ΕΠΙΠΕΔΗ ΤΕΧΝΟΛΟΓΙΑ αρχικό υλικό + *στάδια επίπεδης τεχνολογίας πλακίδιο Si *ακολουθία βημάτων που προσθέτουν ή αφαιρούν υλικά στο πλακίδιο Si οξείδωση εναπόθεση διάχυση φωτολιθογραφία φωτοχάραξη Παραγωγή

Διαβάστε περισσότερα

Χαρακτηρισμός υλικών με ιόντα

Χαρακτηρισμός υλικών με ιόντα Χαρακτηρισμός υλικών με ιόντα 1. Secondary ion mass spectroscopy (SIMS) Φασματοσκοπία μάζας δευτερογενών ιόντων. Rutherford backscattering (RBS) Φασματοσκοπία οπισθοσκέδασης κατά Rutherford Secondary ion

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (13 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (13 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (13 η σειρά διαφανειών) Η επίπεδη τεχνολογία κατασκευής ολοκληρωμένων κυκλωμάτων περιλαμβάνει τις ακόλουθες ανεξάρτητες διεργασίες: ανάπτυξη

Διαβάστε περισσότερα

Physical vapor deposition (PVD)-φυσική εναπόθεση ατμών

Physical vapor deposition (PVD)-φυσική εναπόθεση ατμών Physical vapor deposition (PVD)-φυσική εναπόθεση ατμών Μηχανισμός: Το υμένιο αναπτύσσεται στην επιφάνεια του υποστρώματος με διαδικασία συμπύκνωσης από τους ατμούς του. Στις μεθόδους PVD υπάγονται: Evaporation,

Διαβάστε περισσότερα

Εισαγωγή Σε Ολοκληρωµένα Κυκλώµατα (Microchips) Αναλογικά ή Ψηφιακά Κυκλώµατα;

Εισαγωγή Σε Ολοκληρωµένα Κυκλώµατα (Microchips) Αναλογικά ή Ψηφιακά Κυκλώµατα; Εισαγωγή Σε Ολοκληρωµένα Κυκλώµατα (Microchips) ρ. Ιούλιος Γεωργίου Further Reading Texts: Design of Analog CMOS Integrated Circuits Behzad Razavi Microelectronic Circuits, Sedra & Smith Αναλογικά ή Ψηφιακά

Διαβάστε περισσότερα

ΚΑΤΑΛΥΤΙΚΆ ΥΛΙΚΆ. 1. Παρασκευή Στηριγμένων Καταλυτών. 2. Χαρακτηρισμός Καταλυτών

ΚΑΤΑΛΥΤΙΚΆ ΥΛΙΚΆ. 1. Παρασκευή Στηριγμένων Καταλυτών. 2. Χαρακτηρισμός Καταλυτών ΚΑΤΑΛΥΤΙΚΆ ΥΛΙΚΆ 1. Παρασκευή Στηριγμένων Καταλυτών 2. Χαρακτηρισμός Καταλυτών Παρασκευή Στηριγμένων Καταλυτών Τεχνικές Εμποτισμού Ξηρός Εμποτισμός Υγρός Εμποτισμός Απλός Εμποτισμός Εναπόθεση - Καθίζηση

Διαβάστε περισσότερα

ΙΔΙΟΤΗΤΕΣ ΜΑΓΝΗΤΙΚΩΝ ΦΑΚΩΝ. Ηλεκτροστατικοί και Μαγνητικοί Φακοί Βασική Δομή Μαγνητικών Φακών Υστέρηση Λεπτοί Μαγνητικοί Φακοί Εκτροπές Φακών

ΙΔΙΟΤΗΤΕΣ ΜΑΓΝΗΤΙΚΩΝ ΦΑΚΩΝ. Ηλεκτροστατικοί και Μαγνητικοί Φακοί Βασική Δομή Μαγνητικών Φακών Υστέρηση Λεπτοί Μαγνητικοί Φακοί Εκτροπές Φακών ΙΔΙΟΤΗΤΕΣ ΜΑΓΝΗΤΙΚΩΝ ΦΑΚΩΝ Βασική Δομή Μαγνητικών Φακών Υστέρηση Λεπτοί Μαγνητικοί Φακοί Εκτροπές Φακών ΓΕΩΜΕΤΡΙΚΗ ΟΠΤΙΚΗ ΓΥΑΛΙΝΟΙ ΚΑΙ ΗΛΕΚΤΡΟΝΙΚΟΙ ΦΑΚΟΙ Οι φακοί χρησιμοποιούνται για να εκτρέψουν μία

Διαβάστε περισσότερα

Διατάξεις ημιαγωγών. Δίοδος, δίοδος εκπομπής φωτός (LED) Τρανζίστορ. Ολοκληρωμένο κύκλωμα

Διατάξεις ημιαγωγών. Δίοδος, δίοδος εκπομπής φωτός (LED) Τρανζίστορ. Ολοκληρωμένο κύκλωμα Δίοδος, δίοδος εκπομπής φωτός (LED) Διατάξεις ημιαγωγών p n Άνοδος Κάθοδος Δ. Γ. Παπαγεωργίου Τμήμα Μηχανικών Επιστήμης Υλικών Πανεπιστήμιο Ιωαννίνων Άνοδος Κάθοδος dpapageo@cc.uoi.gr http://pc64.materials.uoi.gr/dpapageo

Διαβάστε περισσότερα

ΟΡΓΑΝΟΛΟΓΙΑ ΦΑΣΜΑΤΟΜΕΤΡΙΚΩΝ ΟΡΓΑΝΩΝ ΜΕΤΡΗΣΗΣ: ΑΠΟΡΡΟΦΗΣΗΣ ΦΘΟΡΙΣΜΟΥ, ΦΩΣΦΩΡΙΣΜΟΥ, ΣΚΕΔΑΣΗΣ ΕΚΠΟΜΠΗΣ, ΧΗΜΕΙΟΦΩΤΑΥΓΕΙΑΣ

ΟΡΓΑΝΟΛΟΓΙΑ ΦΑΣΜΑΤΟΜΕΤΡΙΚΩΝ ΟΡΓΑΝΩΝ ΜΕΤΡΗΣΗΣ: ΑΠΟΡΡΟΦΗΣΗΣ ΦΘΟΡΙΣΜΟΥ, ΦΩΣΦΩΡΙΣΜΟΥ, ΣΚΕΔΑΣΗΣ ΕΚΠΟΜΠΗΣ, ΧΗΜΕΙΟΦΩΤΑΥΓΕΙΑΣ ΟΡΓΑΝΟΛΟΓΙΑ ΦΑΣΜΑΤΟΜΕΤΡΙΚΩΝ ΟΡΓΑΝΩΝ ΜΕΤΡΗΣΗΣ: ΑΠΟΡΡΟΦΗΣΗΣ ΦΘΟΡΙΣΜΟΥ, ΦΩΣΦΩΡΙΣΜΟΥ, ΣΚΕΔΑΣΗΣ ΕΚΠΟΜΠΗΣ, ΧΗΜΕΙΟΦΩΤΑΥΓΕΙΑΣ ΠΗΓΕΣ ΑΚΤΙΝΟΒΟΛΙΑΣ ΣΥΝΕΧΕΙΣ ΠΗΓΕΣ ΠΗΓΕΣ ΓΡΑΜΜΩΝ ΚΟΙΛΗΣ ΚΑΘΟΔΟΥ & ΛΥΧΝΙΕΣ ΕΚΚΕΝΩΣΕΩΝ

Διαβάστε περισσότερα

Λιθογραφία. Υμένιο Φωτοπολυμερούς Δισκίο Πυριτίου. Έκθεση μέσω μάσκας. Εμφάνιση του φωτοπολυμερικού υλικού

Λιθογραφία. Υμένιο Φωτοπολυμερούς Δισκίο Πυριτίου. Έκθεση μέσω μάσκας. Εμφάνιση του φωτοπολυμερικού υλικού Οπτική Λιθογραφία Λιθογραφία Υμένιο Φωτοπολυμερούς Δισκίο Πυριτίου Έκθεση μέσω μάσκας Εμφάνιση του φωτοπολυμερικού υλικού Λιθογραφία Θετικού Τόνου Λιθογραφία Αρνητικού Τόνου Τρανζίστορ Λιθογραφία Thin

Διαβάστε περισσότερα

Σύστημα Κοκκομετρίας ANALYSETTE 22 MicroTec Plus. Ν. ΑΣΤΕΡΙΑΔΗΣ Α.Ε. FRITSCH GmbH

Σύστημα Κοκκομετρίας ANALYSETTE 22 MicroTec Plus. Ν. ΑΣΤΕΡΙΑΔΗΣ Α.Ε. FRITSCH GmbH Σύστημα Κοκκομετρίας ANALYSETTE 22 MicroTec Plus Ν. ΑΣΤΕΡΙΑΔΗΣ Α.Ε. FRITSCH GmbH Μέγεθος σωματιδίων και Φως Περίθλαση φωτός για τη μέτρηση του μεγέθους σωματιδίων Διερχόμενα από δέσμη φωτός σωματίδια σχηματίζουν

Διαβάστε περισσότερα

Εφαρμογές των Laser στην Φ/Β τεχνολογία: πιο φτηνό ρεύμα από τον ήλιο

Εφαρμογές των Laser στην Φ/Β τεχνολογία: πιο φτηνό ρεύμα από τον ήλιο Εφαρμογές των Laser στην Φ/Β τεχνολογία: πιο φτηνό ρεύμα από τον ήλιο Μιχάλης Κομπίτσας Εθνικό Ίδρυμα Ερευνών, Ινστιτούτο Θεωρ./Φυσικής Χημείας (www.laser-applications.eu) 1 ΠΕΡΙΕΧΟΜΕΝΑ ΤΗΣ ΟΜΙΛΙΑΣ 1.

Διαβάστε περισσότερα

Οι ακτίνες Χ είναι ηλεκτρομαγνητική ακτινοβολία με λ [ m] (ή 0,01-10Å) και ενέργεια φωτονίων kev.

Οι ακτίνες Χ είναι ηλεκτρομαγνητική ακτινοβολία με λ [ m] (ή 0,01-10Å) και ενέργεια φωτονίων kev. Οι ακτίνες Χ είναι ηλεκτρομαγνητική ακτινοβολία με λ [10-9 -10-12 m] (ή 0,01-10Å) και ενέργεια φωτονίων kev. ότι το αόρατο το «φώς» από τον σωλήνα διαπερνούσε διάφορα υλικά (χαρτί, ξύλο, βιβλία) κατά την

Διαβάστε περισσότερα

Οι ακτίνες Χ είναι ηλεκτροµαγνητική ακτινοβολία µε λ [10-9 -10-12 m] (ή 0,01-10Å) και ενέργεια φωτονίων kev.

Οι ακτίνες Χ είναι ηλεκτροµαγνητική ακτινοβολία µε λ [10-9 -10-12 m] (ή 0,01-10Å) και ενέργεια φωτονίων kev. Οι ακτίνες Χ είναι ηλεκτροµαγνητική ακτινοβολία µε λ [10-9 -10-12 m] (ή 0,01-10Å) και ενέργεια φωτονίων kev. To ορατό καταλαµβάνει ένα πολύ µικρό µέρος του ηλεκτροµαγνητικού φάσµατος: 1,6-3,2eV. Page 1

Διαβάστε περισσότερα

ΟΠΤΟΗΛΕΚΤΡΟΝΙΚΗ ΜΑΘΗΜΑ 1 Ο ΟΠΤΙΚΗ. Δρ. M.Χανιάς Αν.Καθηγητής Τμήμα Ηλεκτρολόγων Μηχανικών ΤΕ, ΤΕΙ Ανατολικής Μακεδονίας και Θράκης

ΟΠΤΟΗΛΕΚΤΡΟΝΙΚΗ ΜΑΘΗΜΑ 1 Ο ΟΠΤΙΚΗ. Δρ. M.Χανιάς Αν.Καθηγητής Τμήμα Ηλεκτρολόγων Μηχανικών ΤΕ, ΤΕΙ Ανατολικής Μακεδονίας και Θράκης ΟΠΤΟΗΛΕΚΤΡΟΝΙΚΗ ΜΑΘΗΜΑ 1 Ο ΟΠΤΙΚΗ Δρ. M.Χανιάς Αν.Καθηγητής Τμήμα Ηλεκτρολόγων Μηχανικών ΤΕ, ΤΕΙ Ανατολικής Μακεδονίας και Θράκης ΚΑΒΑΛΑ 2018 1 Το φως σαν σωμάτιο Σωματιδιακή φύση του φωτός Γεωμετρική

Διαβάστε περισσότερα

ΧΑΡΑΚΤΗΡΙΣΜΟΣ ΛΕΠΤΩΝ ΥΜΕΝΙΩΝ ΥΔΡΟΓΟΝΩΜΕΝΟΥ ΠΥΡΙΤΙΟΥ (Si:H) ΜΕ ΦΑΣΜΑΤΟΣΚΟΠΙΑ ΥΠΕΡΙΩΔΟΥΣ ΟΡΑΤΟΥ (UV/VIS)

ΧΑΡΑΚΤΗΡΙΣΜΟΣ ΛΕΠΤΩΝ ΥΜΕΝΙΩΝ ΥΔΡΟΓΟΝΩΜΕΝΟΥ ΠΥΡΙΤΙΟΥ (Si:H) ΜΕ ΦΑΣΜΑΤΟΣΚΟΠΙΑ ΥΠΕΡΙΩΔΟΥΣ ΟΡΑΤΟΥ (UV/VIS) ΧΑΡΑΚΤΗΡΙΣΜΟΣ ΛΕΠΤΩΝ ΥΜΕΝΙΩΝ ΥΔΡΟΓΟΝΩΜΕΝΟΥ ΠΥΡΙΤΙΟΥ (Si:H) ΜΕ ΦΑΣΜΑΤΟΣΚΟΠΙΑ ΥΠΕΡΙΩΔΟΥΣ ΟΡΑΤΟΥ (UV/VIS) Γ. Αλεξίου, Β. Περδικάρη, Π. Δημητρακέλλης, Ε. Φάρσαρη, Α. Καλαμπούνιας, Ε.Αμανατίδης και Δ.Ματαράς

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 ΚΕΡΑΜΙΚΑ ΥΜΕΝΙΑ (Τεχνολογίες επίστρωσης από διαλύματα και αιωρήματα για την εφαρμογή κεραμικών επιστρωμάτων)

ΑΣΚΗΣΗ 8 ΚΕΡΑΜΙΚΑ ΥΜΕΝΙΑ (Τεχνολογίες επίστρωσης από διαλύματα και αιωρήματα για την εφαρμογή κεραμικών επιστρωμάτων) ΑΣΚΗΣΗ 8 ΚΕΡΑΜΙΚΑ ΥΜΕΝΙΑ (Τεχνολογίες επίστρωσης από διαλύματα και αιωρήματα για την εφαρμογή κεραμικών επιστρωμάτων) Στάδια της μεθόδου επικάλυψης dip-coating. Α εμβάπτιση στο διάλυμα. Β, σχηματισμός

Διαβάστε περισσότερα

Εξετάσεις Φυσικής για τα τμήματα Βιοτεχνολ. / Ε.Τ.Δ.Α Ιούνιος 2014 (α) Ονοματεπώνυμο...Τμήμα...Α.Μ...

Εξετάσεις Φυσικής για τα τμήματα Βιοτεχνολ. / Ε.Τ.Δ.Α Ιούνιος 2014 (α) Ονοματεπώνυμο...Τμήμα...Α.Μ... Εξετάσεις Φυσικής για τα τμήματα Βιοτεχνολ. / Ε.Τ.Δ.Α Ιούνιος 2014 (α) Ονοματεπώνυμο...Τμήμα...Α.Μ... Σημείωση: Διάφοροι τύποι και φυσικές σταθερές βρίσκονται στην τελευταία σελίδα. Θέμα 1ο (20 μονάδες)

Διαβάστε περισσότερα

Χαρακτηρισμός επιφανειών με

Χαρακτηρισμός επιφανειών με Χαρακτηρισμός επιφανειών με περίθλαση ηλεκτρονίων LEED RHEED 1 Περίθλαση ηλεκτρονίων χαμηλής ενέργειας (Low energy electron diffraction LEED). LEED In situ δομή υμενίων που αναπτύσσονται υπό συνθήκες UHV

Διαβάστε περισσότερα

Η επαφή p n. Η επαφή p n. Υπενθύμιση: Ημιαγωγός τύπου n. Υπενθύμιση: Ημιαγωγός τύπου p

Η επαφή p n. Η επαφή p n. Υπενθύμιση: Ημιαγωγός τύπου n. Υπενθύμιση: Ημιαγωγός τύπου p Η επαφή p n Τι είναι Που χρησιμεύει Η επαφή p n p n Η διάταξη που αποτελείται από μία επαφή p n ονομάζεται δίοδος. Άνοδος Κάθοδος Δ. Γ. Παπαγεωργίου Τμήμα Μηχανικών Επιστήμης Υλικών Πανεπιστήμιο Ιωαννίνων

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΑ ΜΗ ΚΑΤΑΣΤΡΟΦΙΚΟΥ ΕΛΕΓΧΟΥ ΘΕΩΡΙΑ ο ΜΑΘΗΜΑ

ΤΕΧΝΟΛΟΓΙΑ ΜΗ ΚΑΤΑΣΤΡΟΦΙΚΟΥ ΕΛΕΓΧΟΥ ΘΕΩΡΙΑ ο ΜΑΘΗΜΑ ΤΕΧΝΟΛΟΓΙΑ ΜΗ ΚΑΤΑΣΤΡΟΦΙΚΟΥ ΕΛΕΓΧΟΥ ΘΕΩΡΙΑ 2017 9 ο ΜΑΘΗΜΑ Τα (ΔΥ) είναι μία μη καταστροφική μέθοδος που βασίζεται στην οπτική παρατήρηση. Τα ΔΥ αυξάνουν την πιθανότητα παρατήρησης ενδείξεων επιφανειακής

Διαβάστε περισσότερα

Χαρακτηρισμός και μοντέλα τρανζίστορ λεπτών υμενίων βιομηχανικής παραγωγής: Τεχνολογία μικροκρυσταλλικού πυριτίου χαμηλής θερμοκρασίας

Χαρακτηρισμός και μοντέλα τρανζίστορ λεπτών υμενίων βιομηχανικής παραγωγής: Τεχνολογία μικροκρυσταλλικού πυριτίου χαμηλής θερμοκρασίας Χαρακτηρισμός και μοντέλα τρανζίστορ λεπτών υμενίων βιομηχανικής παραγωγής: Τεχνολογία μικροκρυσταλλικού πυριτίου χαμηλής θερμοκρασίας Υποψήφιος Διδάκτορας: Α. Χατζόπουλος Περίληψη Οι τελευταίες εξελίξεις

Διαβάστε περισσότερα

4. Παρατηρείστε το ίχνος ενός ηλεκτρονίου (click here to select an electron

4. Παρατηρείστε το ίχνος ενός ηλεκτρονίου (click here to select an electron Τα ηλεκτρόνια στα Μέταλλα Α. Χωρίς ηλεκτρικό πεδίο: 1. Τι είδους κίνηση κάνουν τα ηλεκτρόνια; Τα ηλεκτρόνια συγκρούονται μεταξύ τους; 2. Πόσα ηλεκτρόνια περνάνε προς τα δεξιά και πόσα προς τας αριστερά

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η. Λιθογραφία θετικού τόνου με συμβατικό φωτοευαίσθητο υλικό. Σκοπός

ΑΣΚΗΣΗ 4 η. Λιθογραφία θετικού τόνου με συμβατικό φωτοευαίσθητο υλικό. Σκοπός ΑΣΚΗΣΗ 4 η. Λιθογραφία θετικού τόνου με συμβατικό φωτοευαίσθητο υλικό. Σκοπός Οπτική λιθογραφία είναι η διαδικασία αποτύπωσης ενός σχεδίου από μία μάσκα σε μία επιφάνεια καλυμμένη με φωτοευαίσθητο υλικό

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΟ ΜΙΚΡΟΣΚΟΠΙΟ. Ηλεκτρονικό Μικροσκόπιο Διέλευσης ή Διαπερατότητας

ΗΛΕΚΤΡΟΝΙΚΟ ΜΙΚΡΟΣΚΟΠΙΟ. Ηλεκτρονικό Μικροσκόπιο Διέλευσης ή Διαπερατότητας ΗΛΕΚΤΡΟΝΙΚΟ ΜΙΚΡΟΣΚΟΠΙΟ Ηλεκτρονικό Μικροσκόπιο Διέλευσης ή Διαπερατότητας ΗΛΕΚΤΡΟΝΙΚΟ ΜΙΚΡΟΣΚΟΠΙΟ Ηλεκτρονικό Μικροσκόπιο Διέλευσης ή Διαπερατότητας Ηλεκτρονικό Μικροσκόπιο Διέλευσης Υψηλής Ανάλυσης JEOL

Διαβάστε περισσότερα

Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Ασκήσεις Μικροηλεκτρονικής

Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Ασκήσεις Μικροηλεκτρονικής Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Ασκήσεις Μικροηλεκτρονικής Αραπογιάννη Αγγελική Τμήμα Πληροφορικής και Τηλεπικοινωνιών. Σελίδα 2 1. Εισαγωγή... 4 2. Ανάπτυξη Κρυστάλλων... 4 3. Οξείδωση του πυριτίου...

Διαβάστε περισσότερα

Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Ενότητα Α: Τεχνολογία Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Κεφάλαιο 5: Μικρολιθογραφία

Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Ενότητα Α: Τεχνολογία Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Κεφάλαιο 5: Μικρολιθογραφία Σχεδίαση Ολοκληρωμένων Κυκλωμάτων Ενότητα Α: Τεχνολογία Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Κεφάλαιο 5: Μικρολιθογραφία Αραπογιάννη Αγγελική Τμήμα Πληροφορικής και Τηλεπικοινωνιών. Σελίδα 2 1. Σκοποί ενότητας...

Διαβάστε περισσότερα

Πυρίτιο. Η βάση για τα σύγχρονα ημιαγωγικά κυκλώματα είναι. Ένας κρύσταλλος καθαρού πυριτίου συμπεριφέρεται

Πυρίτιο. Η βάση για τα σύγχρονα ημιαγωγικά κυκλώματα είναι. Ένας κρύσταλλος καθαρού πυριτίου συμπεριφέρεται Τεχνολογία CMOS Πυρίτιο Η βάση για τα σύγχρονα ημιαγωγικά κυκλώματα είναι το πυρίτιο Ένας κρύσταλλος καθαρού πυριτίου συμπεριφέρεται σαν μονωτής Εάν προσθέσουμε προσμόξεις (impurities) τότε αλλάζουμε την

Διαβάστε περισσότερα

ρ ε υ ν α Οι ανάγκες για ενέργεια παγκοσμίως αυξάνονται συνεχώς και εκτιμάται ότι θα διπλασιασθούν

ρ ε υ ν α Οι ανάγκες για ενέργεια παγκοσμίως αυξάνονται συνεχώς και εκτιμάται ότι θα διπλασιασθούν Οργανικά Φωτοβολταϊκά Τμήμα Ηλεκτρολογίας & Κέντρο Τεχνολογίας Υλικών και Λέιζερ, ΤΕΙ Κρήτης των Δρ. Εμμανουήλ Κουδουμά, Δρ. Εμμανουηλ Κυμάκη Οι ανάγκες για ενέργεια παγκοσμίως αυξάνονται συνεχώς και εκτιμάται

Διαβάστε περισσότερα

Μικρο Νανοκατεργασίες. Δρ. Μηχ. Άγγελος Μαρκόπουλος

Μικρο Νανοκατεργασίες. Δρ. Μηχ. Άγγελος Μαρκόπουλος Μικρο Νανοκατεργασίες Δρ. Μηχ. Άγγελος Μαρκόπουλος Μικρο Νανοκατεργασίες Διαχωρισμός Λιθογραφικές μεθόδους (π.χ. Φωτολιθογραφία) Μη λιθογραφικές μεθόδους (π.χ. Μηχανικές μικροκοπές) Μικρο νανοκατεργασίες

Διαβάστε περισσότερα

Κυματική οπτική. Συμβολή Περίθλαση Πόλωση

Κυματική οπτική. Συμβολή Περίθλαση Πόλωση Κυματική οπτική Η κυματική οπτική ασχολείται με τη μελέτη φαινομένων τα οποία δεν μπορούμε να εξηγήσουμε επαρκώς με τις αρχές της γεωμετρικής οπτικής. Στα φαινόμενα αυτά περιλαμβάνονται τα εξής: Συμβολή

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ ΕΚΤΟ ΤΕΧΝΟΛΟΓΙΚΕΣ ΔΙΕΡΓΑΣΙΕΣ ΣΤΕΡΕΑΣ ΚΑΤΑΣΤΑΣΗΣ. Περιληπτική θεωρητική εισαγωγή

ΚΕΦΑΛΑΙΟ ΕΚΤΟ ΤΕΧΝΟΛΟΓΙΚΕΣ ΔΙΕΡΓΑΣΙΕΣ ΣΤΕΡΕΑΣ ΚΑΤΑΣΤΑΣΗΣ. Περιληπτική θεωρητική εισαγωγή ΚΕΦΑΛΑΙΟ ΕΚΤΟ ΤΕΧΝΟΛΟΓΙΚΕΣ ΔΙΕΡΓΑΣΙΕΣ ΣΤΕΡΕΑΣ ΚΑΤΑΣΤΑΣΗΣ Περιληπτική θεωρητική εισαγωγή α) Τεχνική zchralski Η πιο συχνά χρησιμοποιούμενη τεχνική ανάπτυξης μονοκρυστάλλων πυριτίου (i), αρίστης ποιότητας,

Διαβάστε περισσότερα

Nανοσωλήνες άνθρακα. Ηλεκτρονική δομή ηλεκτρικές ιδιότητες. Εφαρμογές στα ηλεκτρονικά

Nανοσωλήνες άνθρακα. Ηλεκτρονική δομή ηλεκτρικές ιδιότητες. Εφαρμογές στα ηλεκτρονικά Nανοσωλήνες άνθρακα Ηλεκτρονική δομή ηλεκτρικές ιδιότητες Εφαρμογές στα ηλεκτρονικά Νανοσωλήνες άνθρακα ιστορική αναδρομή Από το γραφίτη στους Νανοσωλήνες άνθρακα Στο γραφίτη τα άτομα C συνδέονται ισχυρά

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΔΡ. ΒΑΣΙΛΕΙΟΣ ΜΠΙΝΑΣ Τμήμα Φυσικής, Πανεπιστήμιο Κρήτης Email: binasbill@iesl.forth.gr Thl. 1269 Crete Center for Quantum Complexity and Nanotechnology Department of Physics, University

Διαβάστε περισσότερα

Ύλη ένατου µαθήµατος. Οπτικό µικροσκόπιο, Ηλεκτρονική µικροσκοπία σάρωσης, Ηλεκτρονική µικροσκοπία διέλευσης.

Ύλη ένατου µαθήµατος. Οπτικό µικροσκόπιο, Ηλεκτρονική µικροσκοπία σάρωσης, Ηλεκτρονική µικροσκοπία διέλευσης. ιάλεξη 9 η Ύλη ένατου µαθήµατος Οπτικό µικροσκόπιο, Ηλεκτρονική µικροσκοπία σάρωσης, Ηλεκτρονική µικροσκοπία διέλευσης. Μέθοδοι µικροσκοπικής ανάλυσης των υλικών Οπτική µικροσκοπία (Optical microscopy)

Διαβάστε περισσότερα

Εφαρμοσμένη Οπτική. Γεωμετρική Οπτική

Εφαρμοσμένη Οπτική. Γεωμετρική Οπτική Εφαρμοσμένη Οπτική Γεωμετρική Οπτική Κύρια σημεία του μαθήματος Η προσέγγιση της γεωμετρικής οπτικής Νόμοι της ανάκλασης και της διάθλασης Αρχή του Huygens Αρχή του Fermat Αρχή της αντιστρεψιμότητας (principle

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΔΡ. ΒΑΣΙΛΕΙΟΣ ΜΠΙΝΑΣ Τμήμα Φυσικής, Πανεπιστήμιο Κρήτης Email: binasbill@iesl.forth.gr Thl. 1269 Crete Center for Quantum Complexity and Nanotechnology Department of Physics, University

Διαβάστε περισσότερα

Εργαστήριο Υλικών ΙΙ (Κεραμικά & Σύνθετα Υλικά)

Εργαστήριο Υλικών ΙΙ (Κεραμικά & Σύνθετα Υλικά) ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Εργαστήριο Υλικών ΙΙ (Κεραμικά & Σύνθετα Υλικά) Κεραμικά Υμένια Διδάσκοντες: Αναπλ. Καθ. Σ. Αγαθόπουλος, Καθ. Δ. Γουρνής, Καθ. Μ. Καρακασίδης Άδειες Χρήσης

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΔΡ. ΒΑΣΙΛΕΙΟΣ ΜΠΙΝΑΣ Τμήμα Φυσικής, Πανεπιστήμιο Κρήτης Email: binasbill@iesl.forth.gr Thl. 1269 Crete Center for Quantum Complexity and Nanotechnology Department of Physics, University

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΦΥΣΙΚΗΣ ΧΗΜΕΙΑΣ ΤΜΗΜΑΤΟΣ ΒΙΟΛΟΓΙΑΣ Φασματοφωτομετρία

ΕΡΓΑΣΤΗΡΙΟ ΦΥΣΙΚΗΣ ΧΗΜΕΙΑΣ ΤΜΗΜΑΤΟΣ ΒΙΟΛΟΓΙΑΣ Φασματοφωτομετρία 1 ΕΡΓΑΣΤΗΡΙΟ ΦΥΣΙΚΗΣ ΧΗΜΕΙΑΣ ΤΜΗΜΑΤΟΣ ΒΙΟΛΟΓΙΑΣ Φασματοφωτομετρία Ιωάννης Πούλιος Αθανάσιος Κούρας Ευαγγελία Μανώλη ΕΡΓΑΣΤΗΡΙΟ ΦΥΣΙΚΗΣ ΧΗΜΕΙΑΣ ΤΜΗΜΑ ΧΗΜΕΙΑΣ ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ 54124

Διαβάστε περισσότερα

ΙΑΤΡΙΚΗ ΑΠΕΙΚΟΝΙΣΗ ΥΠΕΡΗΧΟΓΡΑΦΙΑ

ΙΑΤΡΙΚΗ ΑΠΕΙΚΟΝΙΣΗ ΥΠΕΡΗΧΟΓΡΑΦΙΑ ΙΑΤΡΙΚΗ ΑΠΕΙΚΟΝΙΣΗ ΥΠΕΡΗΧΟΓΡΑΦΙΑ Γενικές Αρχές Φυσικής Κ. Χατζημιχαήλ ΙΑΤΡΙΚΗ ΑΠΕΙΚΟΝΙΣΗ ΥΠΕΡΗΧΟΓΡΑΦΙΑ Καλώς ήλθατε Καλή αρχή Υπερηχογραφία Ανήκει στις τομογραφικές μεθόδους απεικόνισης Δεν έχει ιονίζουσα

Διαβάστε περισσότερα

ΕΙΔΙΚΑ ΚΕΦΑΛΑΙΑ ΣΥΓΧΡΟΝΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΠΑΡΑΓΩΓΗΣ

ΕΙΔΙΚΑ ΚΕΦΑΛΑΙΑ ΣΥΓΧΡΟΝΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΠΑΡΑΓΩΓΗΣ ΕΙΔΙΚΑ ΚΕΦΑΛΑΙΑ ΣΥΓΧΡΟΝΩΝ ΤΕΧΝΟΛΟΓΙΩΝ ΠΑΡΑΓΩΓΗΣ Ενότητα 4: Παραγωγή με πρόσθεση υλικού Προσθετική Κατασκευή(ΠΚ) & Ταχεία Παραγωγή (ΤΠ) ΤΕΧΝΟΛΟΓΙΕΣ ΠΚ/ΤΠ Υγρή Π.Υ. SL Στερεολιθογραφία Π.Υ. σε Κόκκους SLS

Διαβάστε περισσότερα

NTSE - Nano Technology Science Education Project No: LLP TR-KA3-KA3MP

NTSE - Nano Technology Science Education Project No: LLP TR-KA3-KA3MP NTSE - Nano Technology Science Education Project No: 511787-LLP-1-2010-1-TR-KA3-KA3MP ΟΔΗΓΙΕΣ ΓΙΑ ΜΑΘΗΤΕΣ ΝΑΝΟΚΡΥΣΤΑΛΛΟΙ Εικονικό εργαστήριο: http://vlab.ntse-nanotech.eu/nanovirtuallab/ 1 ΜΕΛΕΤΗ ΠΡΙΝ

Διαβάστε περισσότερα

ΝΑΝΟΥΛΙΚΑ ΚΑΙ ΝΑΝΟΤΕΧΝΟΛΟΓΙΑ ΣΤΕΛΛΑ ΚΕΝΝΟΥ ΚΑΘΗΓΗΤΡΙΑ

ΝΑΝΟΥΛΙΚΑ ΚΑΙ ΝΑΝΟΤΕΧΝΟΛΟΓΙΑ ΣΤΕΛΛΑ ΚΕΝΝΟΥ ΚΑΘΗΓΗΤΡΙΑ ΣΤΕΛΛΑ ΚΕΝΝΟΥ ΚΑΘΗΓΗΤΡΙΑ 1 Ιδιότητες εξαρτώμενες από το μέγεθος Στην νανοκλίμακα, οι ιδιότητες εξαρτώνται δραματικά από το μέγεθος Για παράδειγμα, ΙΔΙΟΤΗΤΕΣ ΝΑΝΟΥΛΙΚΩΝ (1) Θερμικές ιδιότητες θερμοκρασία

Διαβάστε περισσότερα

ΣΤΟΙΧΕΙΑ ΑΝΤΟΧΗΣ ΣΤΗ ΔΙΑΒΡΩΣΗ ΤΟΥ ΑΛΟΥΜΙΝΙΟΥ ΑΝΟΔΙΩΣΗ

ΣΤΟΙΧΕΙΑ ΑΝΤΟΧΗΣ ΣΤΗ ΔΙΑΒΡΩΣΗ ΤΟΥ ΑΛΟΥΜΙΝΙΟΥ ΑΝΟΔΙΩΣΗ Εισαγωγή ΣΤΟΙΧΕΙΑ ΑΝΤΟΧΗΣ ΣΤΗ ΔΙΑΒΡΩΣΗ ΤΟΥ ΑΛΟΥΜΙΝΙΟΥ ΑΝΟΔΙΩΣΗ Το γαλβανικό κελί (γαλβανική διάβρωση) είναι μια ηλεκτροχημική αντίδραση οξείδωσης-αναγωγής (redox), η οποία συμβαίνει όταν δύο ανόμοια μέταλλα

Διαβάστε περισσότερα

Απορρόφηση του φωτός Προσδιορισμός του συντελεστή απορρόφησης διαφανών υλικών

Απορρόφηση του φωτός Προσδιορισμός του συντελεστή απορρόφησης διαφανών υλικών Ο11 Απορρόφηση του φωτός Προσδιορισμός του συντελεστή απορρόφησης διαφανών υλικών 1. Σκοπός Η εργαστηριακή αυτή άσκηση αποσκοπεί α) στην μελέτη του φαινομένου της εξασθένησης του φωτός καθώς αυτό διέρχεται

Διαβάστε περισσότερα

ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙΔΕΙΑΣ

ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙΔΕΙΑΣ 5 ΧΡΟΝΙΑ ΕΜΠΕΙΡΙΑ ΣΤΗΝ ΕΚΠΑΙΔΕΥΣΗ ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙΔΕΙΑΣ ΘΕΜΑΤΑ ΘΕΜΑ Α Στις ερωτήσεις Α-Α να γράψετε στο τετράδιό σας τον αριθμό της ερώτησης και δίπλα το γράμμα που αντιστοιχεί στη σωστή φράση, η οποία

Διαβάστε περισσότερα

Μάθημα 23 ο. Μεταλλικός Δεσμός Θεωρία Ζωνών- Ημιαγωγοί Διαμοριακές Δυνάμεις

Μάθημα 23 ο. Μεταλλικός Δεσμός Θεωρία Ζωνών- Ημιαγωγοί Διαμοριακές Δυνάμεις Μάθημα 23 ο Μεταλλικός Δεσμός Θεωρία Ζωνών- Ημιαγωγοί Διαμοριακές Δυνάμεις Μεταλλικός Δεσμός Μοντέλο θάλασσας ηλεκτρονίων Πυρήνες σε θάλασσα e -. Μεταλλική λάμψη. Ολκιμότητα. Εφαρμογή δύναμης Γενική και

Διαβάστε περισσότερα

Σχετικά με το μάθημα. Ο Υπολογιστής Η γενική εικόνα. Η μνήμη. Ενότητες μαθήματος. Εισαγωγή στους Υπολογιστές. Βιβλία για το μάθημα

Σχετικά με το μάθημα. Ο Υπολογιστής Η γενική εικόνα. Η μνήμη. Ενότητες μαθήματος. Εισαγωγή στους Υπολογιστές. Βιβλία για το μάθημα Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2014-15 Εισαγωγή στους Υπολογιστές (αρχές λειτουργίας και τεχνολογία) Σχετικά με το μάθημα Ενότητες μαθήματος Αρχές λειτουργίας

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 13 LASER. Light Amplification by Stimulated Emission of Radiation Ενίσχυση Φωτός με Επαγόμενη Εκπομπή Ακτινοβολίας

ΚΕΦΑΛΑΙΟ 13 LASER. Light Amplification by Stimulated Emission of Radiation Ενίσχυση Φωτός με Επαγόμενη Εκπομπή Ακτινοβολίας ΚΕΦΑΛΑΙΟ 13 Μαρία Κατσικίνη katsiki@auth.gr users.auth.gr/~katsiki Light Amplification by Stimulated Emission of Radiation Ενίσχυση Φωτός με Επαγόμενη Εκπομπή Ακτινοβολίας wikipedia Το πρώτο κατασκευάστηκε

Διαβάστε περισσότερα

Νανοηλεκτρονικές Διατάξεις Π. Φωτόπουλος ΠΑΔΑ

Νανοηλεκτρονικές Διατάξεις Π. Φωτόπουλος ΠΑΔΑ 1. Απεικονίστε την διαδρομή του ηλεκτρονίου στην αγωγή με σκέδαση και στην βαλλιστική αγωγή. Υπολογίστε τι μήκος πρέπει να έχει ένας αγωγός GaAs ώστε η αγωγή να γίνεται βαλλιστικά Δίνεται: η ευκινησία

Διαβάστε περισσότερα

ΠΕΙΡΑΜΑ 4: ΟΠΤΙΚΗ ΦΑΣΜΑΤΟΣΚΟΠΙΑ AΠΟΡΡΟΦΗΣΗΣ

ΠΕΙΡΑΜΑ 4: ΟΠΤΙΚΗ ΦΑΣΜΑΤΟΣΚΟΠΙΑ AΠΟΡΡΟΦΗΣΗΣ ΠΕΙΡΑΜΑ 4: ΟΠΤΙΚΗ ΦΑΣΜΑΤΟΣΚΟΠΙΑ AΠΟΡΡΟΦΗΣΗΣ [1] ΘΕΩΡΙΑ Σύμφωνα με τη κβαντομηχανική, τα άτομα απορροφούν ηλεκτρομαγνητική ενέργεια με διακριτό τρόπο, με «κβάντο» ενέργειας την ενέργεια hv ενός φωτονίου,

Διαβάστε περισσότερα

Πείραμα - 4 Σύζευξη Οπτικών Ινών με Laser

Πείραμα - 4 Σύζευξη Οπτικών Ινών με Laser Πείραμα - 4 Σύζευξη Οπτικών Ινών με Laser Σύζευξη Οπτικών Ινών με Laser 1 1.1 Αρχή της άσκησης Σκοπός αυτής της άσκησης είναι η εξοικείωση των φοιτητών με τις Οπτικές Ίνες (optical fibers) μέσω διαφόρων

Διαβάστε περισσότερα

ΘΕΜΑ Β Β.1 Α) Μονάδες 4 Μονάδες 8 Β.2 Α) Μονάδες 4 Μονάδες 9

ΘΕΜΑ Β Β.1 Α) Μονάδες 4  Μονάδες 8 Β.2 Α) Μονάδες 4 Μονάδες 9 Β.1 O δείκτης διάθλασης διαφανούς υλικού αποκλείεται να έχει τιμή: α. 0,8 β. 1, γ. 1,4 Β. Το ηλεκτρόνιο στο άτομο του υδρογόνου, έχει κινητική ενέργεια Κ, ηλεκτρική δυναμική ενέργεια U και ολική ενέργεια

Διαβάστε περισσότερα

ΑΣΚΗΣΕΙΣ ΚΥΜΑΤΙΚΗΣ ΟΠΤΙΚΗΣ

ΑΣΚΗΣΕΙΣ ΚΥΜΑΤΙΚΗΣ ΟΠΤΙΚΗΣ ΑΣΚΗΣΕΙΣ ΚΥΜΑΤΙΚΗΣ ΟΠΤΙΚΗΣ ΑΣΚΗΣΗ 1: Ένα οπτικό φράγμα με δυο σχισμές που απέχουν μεταξύ τους απόσταση =0.0 mm είναι τοποθετημένο σε απόσταση =1,0 m από μια οθόνη. Το οπτικό φράγμα με τις δυο σχισμές φωτίζεται

Διαβάστε περισσότερα

ΑΣΚΗΣΕΙΣ ΚΥΜΑΤΙΚΗΣ ΟΠΤΙΚΗΣ

ΑΣΚΗΣΕΙΣ ΚΥΜΑΤΙΚΗΣ ΟΠΤΙΚΗΣ ΑΣΚΗΣΕΙΣ ΚΥΜΑΤΙΚΗΣ ΟΠΤΙΚΗΣ ΑΣΚΗΣΗ 1: Ένα οπτικό φράγμα με δυο σχισμές που απέχουν μεταξύ τους απόσταση d=0.20 mm είναι τοποθετημένο σε απόσταση =1,20 m από μια οθόνη. Το οπτικό φράγμα με τις δυο σχισμές

Διαβάστε περισσότερα

Τι είναι η ΜΙΚΡΟΣΚΟΠΙΑ; Τι μέγεθος έχει το μικρότερο αντικείμενο που μπορούμε να δούμε; Τι πληροφορίες μπορούμε να αποκομίσουμε και με τι ευκρίνεια;

Τι είναι η ΜΙΚΡΟΣΚΟΠΙΑ; Τι μέγεθος έχει το μικρότερο αντικείμενο που μπορούμε να δούμε; Τι πληροφορίες μπορούμε να αποκομίσουμε και με τι ευκρίνεια; Τι είναι η ΜΙΚΡΟΣΚΟΠΙΑ; Τι μέγεθος έχει το μικρότερο αντικείμενο που μπορούμε να δούμε; Τι πληροφορίες μπορούμε να αποκομίσουμε και με τι ευκρίνεια; Πως δημιουργήθηκε η ανάγκη υλοποίησης για το πιο σύνθετο,

Διαβάστε περισσότερα

Από πού προέρχεται η θερμότητα που μεταφέρεται από τον αντιστάτη στο περιβάλλον;

Από πού προέρχεται η θερμότητα που μεταφέρεται από τον αντιστάτη στο περιβάλλον; 3. ΗΛΕΚΤΡΙΚΗ ΕΝΕΡΓΕΙΑ Ένα ανοικτό ηλεκτρικό κύκλωμα μετατρέπεται σε κλειστό, οπότε διέρχεται από αυτό ηλεκτρικό ρεύμα που μεταφέρει ενέργεια. Τα σπουδαιότερα χαρακτηριστικά της ηλεκτρικής ενέργειας είναι

Διαβάστε περισσότερα

Τελική γραπτή εξέταση «Επιστήμη και Τεχνολογία Υλικών ΙΙ»-Ιούνιος 2016

Τελική γραπτή εξέταση «Επιστήμη και Τεχνολογία Υλικών ΙΙ»-Ιούνιος 2016 ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΧΗΜΙΚΩΝ ΜΗΧΑΝΙΚΩΝ-ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΩΝ ΕΡΓΑΣΤΗΡΙΟ ΤΕΧΝΟΛΟΓΙΑΣ ΥΛΙΚΩΝ ΘΕΜΑ 1 ο (25 Μονάδες) (Καθ. Β.Ζασπάλης) Δοκίμιο από PMMA (Poly Methyl MethAcrylate)

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 3: Εισαγωγή στη Διαδικασία Κατασκευής (CMOS Processing) Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

Χημικός & δομικός χαρακτηρισμός επιφανειών και λεπτών υμενίων

Χημικός & δομικός χαρακτηρισμός επιφανειών και λεπτών υμενίων Χημικός & δομικός χαρακτηρισμός επιφανειών και λεπτών υμενίων Χημική σύσταση προσρόφηση δεσμοί Επιφάνειες Αρχικά στάδια ανάπτυξης Χωρική κατανομή Depth profiling επιφάνειες Ετερογενής πυρηνοποίηση & ανάπτυξη

Διαβάστε περισσότερα

Φυσική ΘΕΜΑ 1 ΘΕΜΑ 2 ΘΕΜΑ 3

Φυσική ΘΕΜΑ 1 ΘΕΜΑ 2 ΘΕΜΑ 3 Φυσική ΘΕΜΑ 1 1) Υπάρχουν δύο διαφορετικά είδη φορτίου που ονομάστηκαν θετικό και αρνητικό ηλεκτρικό φορτίο αντίστοιχα. Τα σώματα που έχουν θετικό φορτίο λέμε ότι είναι θετικά φορτισμένα (π.χ. μια γυάλινη

Διαβάστε περισσότερα

ΜΗΧΑΝΙΣΜΟΙ ΦΘΟΡΑΣ 1.Φθορά επιφανειών φθοράς 2. Μηχανισμοί φθοράς Φθορά πρόσφυσης (adhesive wear)

ΜΗΧΑΝΙΣΜΟΙ ΦΘΟΡΑΣ 1.Φθορά επιφανειών φθοράς 2. Μηχανισμοί φθοράς Φθορά πρόσφυσης (adhesive wear) ΜΗΧΑΝΙΣΜΟΙ ΦΘΟΡΑΣ 1.Φθορά επιφανειών Οι επιφανειακές ανωμαλίες στερεών σωμάτων που έρχονται σε επαφή «καταστρέφονται», υπό την επίδραση των δυνάμεων τριβής, με διάφορους μηχανισμούς. Το είδος και το μέγεθος

Διαβάστε περισσότερα

B' ΤΑΞΗ ΓΕΝ.ΛΥΚΕΙΟΥ ΘΕΤΙΚΗ & ΤΕΧΝΟΛΟΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ ΦΥΣΙΚΗ ΕΚΦΩΝΗΣΕΙΣ ÅÐÉËÏÃÇ

B' ΤΑΞΗ ΓΕΝ.ΛΥΚΕΙΟΥ ΘΕΤΙΚΗ & ΤΕΧΝΟΛΟΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ ΦΥΣΙΚΗ ΕΚΦΩΝΗΣΕΙΣ ÅÐÉËÏÃÇ 1 B' ΤΑΞΗ ΓΕΝ.ΛΥΚΕΙΟΥ ΘΕΤΙΚΗ & ΤΕΧΝΟΛΟΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ ΦΥΣΙΚΗ ΘΕΜΑ 1 ο ΕΚΦΩΝΗΣΕΙΣ Να γράψετε στο τετράδιό σας τον αριθµό κάθε µιας από τις παρακάτω ερωτήσεις 1-4 και δίπλα το γράµµα που αντιστοιχεί στη

Διαβάστε περισσότερα

Φύση του φωτός. Θεωρούμε ότι το φως έχει διττή φύση: διαταραχή που διαδίδεται στο χώρο. μήκος κύματος φωτός. συχνότητα φωτός

Φύση του φωτός. Θεωρούμε ότι το φως έχει διττή φύση: διαταραχή που διαδίδεται στο χώρο. μήκος κύματος φωτός. συχνότητα φωτός Γεωμετρική Οπτική Φύση του φωτός Θεωρούμε ότι το φως έχει διττή φύση: ΚΥΜΑΤΙΚΗ Βασική ιδέα Το φως είναι μια Η/Μ διαταραχή που διαδίδεται στο χώρο Βασική Εξίσωση Φαινόμενα που εξηγεί καλύτερα (κύμα) μήκος

Διαβάστε περισσότερα

Εισαγωγή στους Υπολογιστές

Εισαγωγή στους Υπολογιστές Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2015-16 Εισαγωγή στους Υπολογιστές (αρχές λειτουργίας και τεχνολογία) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης

Διαβάστε περισσότερα

Πειραματικός υπολογισμός του μήκους κύματος μονοχρωματικής ακτινοβολίας

Πειραματικός υπολογισμός του μήκους κύματος μονοχρωματικής ακτινοβολίας Πειραματικός υπολογισμός του μήκους κύματος μονοχρωματικής ακτινοβολίας Τάξη : Γ Λυκείου Βασικές έννοιες και σχέσεις Μήκος κύματος - Μονοχρωματική ακτινοβολία - Συμβολή ηλεκτρομαγνητικών κυμάτων - Κροσσοί

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ Ι. Ενότητα 10: Κατασκευή ολοκληρωμένων κυκλωμάτων. Χατζόπουλος Αλκιβιάδης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχ.

ΗΛΕΚΤΡΟΝΙΚΗ Ι. Ενότητα 10: Κατασκευή ολοκληρωμένων κυκλωμάτων. Χατζόπουλος Αλκιβιάδης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχ. ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΙΚΑ ΜΑΘΗΜΑΤΑ ΗΛΕΚΤΡΟΝΙΚΗ Ι Ενότητα 10: Κατασκευή ολοκληρωμένων κυκλωμάτων Χατζόπουλος Αλκιβιάδης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχ. Υπολογιστών

Διαβάστε περισσότερα

ΟΔΟΝΤΙΑΤΡΙΚΗ ΑΚΤΙΝΟΓΡΑΦΙΑ

ΟΔΟΝΤΙΑΤΡΙΚΗ ΑΚΤΙΝΟΓΡΑΦΙΑ ΟΔΟΝΤΙΑΤΡΙΚΗ ΑΚΤΙΝΟΓΡΑΦΙΑ Ευάγγελος Παντελής Επ. Καθ. Ιατρικής Φυσικής Εργαστήριο Ιατρικής Φυσικής Ιατρική Σχολή Αθηνών http://eclass.uoa.gr/courses/med808 ΙΑΤΡΙΚΗ ΦΥΣΙΚΗ Διαγνωστικές και θεραπευτικές

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 11Α «Γεωμετρική οπτική - οπτικά όργανα» Εισαγωγή - Ανάκλαση

ΚΕΦΑΛΑΙΟ 11Α «Γεωμετρική οπτική - οπτικά όργανα» Εισαγωγή - Ανάκλαση ΚΕΦΑΛΑΙΟ Α «Γεωμετρική οπτική - οπτικά όργανα» Εισαγωγή - Ανάκλαση Μαρία Κατσικίνη katsiki@auth.gr users.auth.gr/~katsiki Ηφύσητουφωτός 643-77 Netwon Huygens 69-695 Το φως είναι δέσμη σωματιδίων Το φως

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΔΡ. ΒΑΣΙΛΕΙΟΣ ΜΠΙΝΑΣ Τμήμα Φυσικής, Πανεπιστήμιο Κρήτης Email: binasbill@iesl.forth.gr Thl. 1269 Crete Center for Quantum Complexity and Nanotechnology Department of Physics, University

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΔΡ. ΒΑΣΙΛΕΙΟΣ ΜΠΙΝΑΣ Τμήμα Φυσικής, Πανεπιστήμιο Κρήτης Email: binasbill@iesl.forth.gr Thl. 1269 Crete Center for Quantum Complexity and Nanotechnology Department of Physics, University

Διαβάστε περισσότερα

Φυσική Στερεάς Κατάστασης η ομάδα ασκήσεων Διδάσκουσα Ε. Κ. Παλούρα

Φυσική Στερεάς Κατάστασης η ομάδα ασκήσεων Διδάσκουσα Ε. Κ. Παλούρα Φυσική Στερεάς Κατάστασης -05 η ομάδα ασκήσεων. Έστω ημιαγωγός με συγκέντρωση προσμείξεων Ν>> i. Όλες οι προσμείξεις είναι ιονισμένες και ισχύει =, p= i /. Η πρόσμειξη είναι τύπου p ή? : Όλες οι προσμείξεις

Διαβάστε περισσότερα

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ Γ ΗΜΕΡΗΣΙΩΝ ΕΣΠΕΡΙΝΩΝ

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ Γ ΗΜΕΡΗΣΙΩΝ ΕΣΠΕΡΙΝΩΝ ΑΡΧΗ ΗΣ ΣΕΛΙ ΑΣ Γ ΗΜΕΡΗΣΙΩΝ ΕΣΠΕΡΙΝΩΝ ΠΑΝΕΛΛΑΔΙΚΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΗΜΕΡΗΣΙΟΥ ΚΑΙ Δ ΤΑΞΗΣ ΕΣΠΕΡΙΝΟΥ ΓΕΝΙΚΟΥ ΛΥΚΕΙΟΥ ΠΑΡΑΣΚΕΥΗ 0 ΜΑΪΟΥ 204 - ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙΔΕΙΑΣ ΣΥΝΟΛΟ ΣΕΛΙΔΩΝ:

Διαβάστε περισσότερα

ΕΚΠΑΙΔΕΥΤΙΚΗ ΚΛΙΜΑΚΑ ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ

ΕΚΠΑΙΔΕΥΤΙΚΗ ΚΛΙΜΑΚΑ  ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ ΑΡΧΗ ΗΣ ΣΕΛΙ ΑΣ ΑΠΟΛΥΤΗΡΙΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΗΜΕΡΗΣΙΟΥ ΓΕΝΙΚΟΥ ΛΥΚΕΙΟΥ ΚΑΙ ΠΑΝΕΛΛΑ ΙΚΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΕΠΑΛ (ΟΜΑ Α Β ) ΕΥΤΕΡΑ 7 MAΪΟΥ 200 ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙ ΕΙΑΣ ΣΥΝΟΛΟ ΣΕΛΙ ΩΝ:

Διαβάστε περισσότερα

ΠΟΥ ΔΙΑΔΙΔΕΤΑΙ ΤΟ ΦΩΣ

ΠΟΥ ΔΙΑΔΙΔΕΤΑΙ ΤΟ ΦΩΣ 1 ΦΩΣ Στο μικρόκοσμο θεωρούμε ότι το φως έχει δυο μορφές. Άλλοτε το αντιμετωπίζουμε με τη μορφή σωματιδίων που ονομάζουμε φωτόνια. Τα φωτόνια δεν έχουν μάζα αλλά μόνον ενέργεια. Άλλοτε πάλι αντιμετωπίζουμε

Διαβάστε περισσότερα

Επαφές μετάλλου ημιαγωγού

Επαφές μετάλλου ημιαγωγού Δίοδος Schottky Επαφές μετάλλου ημιαγωγού Δ. Γ. Παπαγεωργίου Τμήμα Μηχανικών Επιστήμης Υλικών Πανεπιστήμιο Ιωαννίνων Τι είναι Ημιαγωγός Κατασκευάζεται με εξάχνωση μετάλλου το οποίο μεταφέρεται στην επιφάνεια

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΔΡ. ΒΑΣΙΛΕΙΟΣ ΜΠΙΝΑΣ Τμήμα Φυσικής, Πανεπιστήμιο Κρήτης Email: binasbill@iesl.forth.gr Thl. 1269 Crete Center for Quantum Complexity and Nanotechnology Department of Physics, University

Διαβάστε περισσότερα

Φυσική των οφθαλμών και της όρασης. Κική Θεοδώρου

Φυσική των οφθαλμών και της όρασης. Κική Θεοδώρου Φυσική των οφθαλμών και της όρασης Κική Θεοδώρου Περιεχόμενα Στοιχεία Γεωμετρικής Οπτικής Ανατομία του Οφθαλμού Αμφιβληστροειδής Ο ανιχνευτής φωτός του οφθαλμού Το κατώφλι της όρασης Φαινόμενα περίθλασης

Διαβάστε περισσότερα

ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙ ΕΙΑΣ 2010 ΕΚΦΩΝΗΣΕΙΣ

ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙ ΕΙΑΣ 2010 ΕΚΦΩΝΗΣΕΙΣ ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙ ΕΙΑΣ 00 ΕΚΦΩΝΗΣΕΙΣ ΘΕΜΑ Α Στις ερωτήσεις Α-Α3 να γράψετε στο τετράδιό σας τον αριθµό της ερώτησης και δίπλα το γράµµα που αντιστοιχεί στη φράση, η οποία συµπληρώνει σωστά την ηµιτελή

Διαβάστε περισσότερα

ΦΩΤΟΒΟΛΤΑΪΚΑ. Γ. Λευθεριώτης Αναπλ. Καθηγητής Γ. Συρροκώστας Μεταδιδακτορικός Ερευνητής

ΦΩΤΟΒΟΛΤΑΪΚΑ. Γ. Λευθεριώτης Αναπλ. Καθηγητής Γ. Συρροκώστας Μεταδιδακτορικός Ερευνητής ΦΩΤΟΒΟΛΤΑΪΚΑ Γ. Λευθεριώτης Αναπλ. Καθηγητής Γ. Συρροκώστας Μεταδιδακτορικός Ερευνητής Φασματική απόκριση φ/β (SR) Ενέργεια φωτονίων μεγαλύτερη από το Eg δεν αξιοποιείται, δηλ. δεν οδηγεί στην αύξηση του

Διαβάστε περισσότερα

ΑΠΑΝΤΗΣΕΙΣ. Επιµέλεια: Οµάδα Φυσικών της Ώθησης

ΑΠΑΝΤΗΣΕΙΣ. Επιµέλεια: Οµάδα Φυσικών της Ώθησης ΕΘΝΙΚΕΣ ΕΞΕΤΑΣΕΙΣ 0 ΑΠΑΝΤΗΣΕΙΣ Επιµέλεια: Οµάδα Φυσικών της Ώθησης ΘΕΜΑ A ΕΘΝΙΚΕΣ ΕΞΕΤΑΣΕΙΣ 0 Παρασκευή, 0 Μαΐου 0 Γ ΛΥΚΕΙΟΥ ΓΕΝΙΚΗΣ ΠΑΙ ΕΙΑΣ ΦΥΣΙΚΗ Στις ερωτήσεις Α -Α να γράψετε στο τετράδιό σας τον

Διαβάστε περισσότερα

ΕΠΕΞΕΡΓΑΣΙΑ ΤΡΟΦΙΜΩΝ

ΕΠΕΞΕΡΓΑΣΙΑ ΤΡΟΦΙΜΩΝ ΕΠΕΞΕΡΓΑΣΙΑ ΤΡΟΦΙΜΩΝ Συμπύκνωση Τι είναι η συμπύκνωση Είναι η διαδικασία με την οποία απομακρύνουμε μέρος της υγρασίας του τροφίμου, αφήνοντας όμως αρκετή ώστε αυτό να παραμένει ρευστό (> 20-30%). Εφαρμόζεται

Διαβάστε περισσότερα

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ Γ ΗΜΕΡΗΣΙΩΝ ΕΣΠΕΡΙΝΩΝ

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ Γ ΗΜΕΡΗΣΙΩΝ ΕΣΠΕΡΙΝΩΝ ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ Γ ΗΜΕΡΗΣΙΩΝ ΕΣΠΕΡΙΝΩΝ ΠΑΝΕΛΛΑΔΙΚΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΗΜΕΡΗΣΙΟΥ ΚΑΙ Δ ΤΑΞΗΣ ΕΣΠΕΡΙΝΟΥ ΓΕΝΙΚΟΥ ΛΥΚΕΙΟΥ ΠΑΡΑΣΚΕΥΗ 30 ΜΑΪΟΥ 2014 - ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΦΥΣΙΚΗ ΓΕΝΙΚΗΣ ΠΑΙΔΕΙΑΣ ΣΥΝΟΛΟ ΣΕΛΙΔΩΝ:

Διαβάστε περισσότερα

Μικρο Νανοκατεργασίες. Δρ. Μηχ. Άγγελος Μαρκόπουλος

Μικρο Νανοκατεργασίες. Δρ. Μηχ. Άγγελος Μαρκόπουλος Μικρο Νανοκατεργασίες Δρ. Μηχ. Άγγελος Μαρκόπουλος Ειδικά θέματα που αφορούν τη φωτολιθογραφία Στην παρουσίαση αυτή δίνονται κάποιοι ορισμοί και εξετάζονται κάποια ειδικά θέματα που αφορούν τη φωτολιθογραφία

Διαβάστε περισσότερα

2ο Επαναληπτικό Διαγώνισμα Φυσικής Γενικής Παιδείας Β τάξης Λυκείου.

2ο Επαναληπτικό Διαγώνισμα Φυσικής Γενικής Παιδείας Β τάξης Λυκείου. ο Επαναληπτικό Διαγώνισμα Φυσικής Γενικής Παιδείας Β τάξης Λυκείου. Θέμα Α: (Για τις ερωτήσεις Α. έως και Α.4 να γράψετε στο τετράδιό σας τον αριθμό της πρότασης και δίπλα το γράμμα που αντιστοιχεί στη

Διαβάστε περισσότερα

Κεφάλαιο 35 ΠερίθλασηκαιΠόλωση. Copyright 2009 Pearson Education, Inc.

Κεφάλαιο 35 ΠερίθλασηκαιΠόλωση. Copyright 2009 Pearson Education, Inc. Κεφάλαιο 35 ΠερίθλασηκαιΠόλωση ΠεριεχόµεναΚεφαλαίου 35 Περίθλαση απλής σχισµής ή δίσκου Intensity in Single-Slit Diffraction Pattern Περίθλαση διπλής σχισµής ιακριτική ικανότητα; Κυκλικές ίριδες ιακριτική

Διαβάστε περισσότερα

Εργαστήριο ΑΠΕ I. Ενότητα 3: Ηλιακοί Συλλέκτες: Μέρος Α. Πολυζάκης Απόστολος / Καλογήρου Ιωάννης / Σουλιώτης Εμμανουήλ

Εργαστήριο ΑΠΕ I. Ενότητα 3: Ηλιακοί Συλλέκτες: Μέρος Α. Πολυζάκης Απόστολος / Καλογήρου Ιωάννης / Σουλιώτης Εμμανουήλ Εργαστήριο ΑΠΕ I Ενότητα 3: Ηλιακοί Συλλέκτες: Μέρος Α Πολυζάκης Απόστολος / Καλογήρου Ιωάννης / Σουλιώτης Εμμανουήλ Ηλιακή Ενέργεια ΤΕΙ ΔΥΤΙΚΗΣ ΕΛΛΑΔΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. 2 Αλληλεπίδραση

Διαβάστε περισσότερα

Επιτροπάκη Ειρήνη. Xianghui Xu,Hui Yuan,Jing Chang,Bin He and Zhongwei Gu. Angew.Chem.Int.Ed. 2012,51,1-5

Επιτροπάκη Ειρήνη. Xianghui Xu,Hui Yuan,Jing Chang,Bin He and Zhongwei Gu. Angew.Chem.Int.Ed. 2012,51,1-5 Επιτροπάκη Ειρήνη ΑΜ:429 Xianghui Xu,Hui Yuan,Jing Chang,Bin He and Zhongwei Gu Angew.Chem.Int.Ed. 2012,51,1-5 Κατασκευή υπερμοριακών δομών με μεταφορικές λειτουργίες για χρήση σε ιατρικές εφαρμογές σε

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ

ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΗΛΕΚΤΡΟΝΙΚΗ ΜΙΚΡΟΣΚΟΠΙΑ ΔΡ. ΒΑΣΙΛΕΙΟΣ ΜΠΙΝΑΣ Τμήμα Φυσικής, Πανεπιστήμιο Κρήτης Email: binasbill@iesl.forth.gr Thl. 1269 Crete Center for Quantum Complexity and Nanotechnology Department of Physics, University

Διαβάστε περισσότερα

Το υποσύστηµα "αίσθησης" απαιτήσεις και επιδόσεις φυσικά µεγέθη γενική δοµή και συγκρότηση

Το υποσύστηµα αίσθησης απαιτήσεις και επιδόσεις φυσικά µεγέθη γενική δοµή και συγκρότηση Το υποσύστηµα "αίσθησης" απαιτήσεις και επιδόσεις φυσικά µεγέθη γενική δοµή και συγκρότηση Το υποσύστηµα "αίσθησης" είσοδοι της διάταξης αντίληψη του "περιβάλλοντος" τροφοδοσία του µε καθορίζει τις επιδόσεις

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΑ ΜΗ ΚΑΤΑΣΤΡΟΦΙΚΟΥ ΕΛΕΓΧΟΥ 4 ο ΜΑΘΗΜΑ ΘΕΩΡΙΑ 2017

ΤΕΧΝΟΛΟΓΙΑ ΜΗ ΚΑΤΑΣΤΡΟΦΙΚΟΥ ΕΛΕΓΧΟΥ 4 ο ΜΑΘΗΜΑ ΘΕΩΡΙΑ 2017 ΤΕΧΝΟΛΟΓΙΑ ΜΗ ΚΑΤΑΣΤΡΟΦΙΚΟΥ ΕΛΕΓΧΟΥ 4 ο ΜΑΘΗΜΑ ΘΕΩΡΙΑ 2017 Εξοπλισμός και Υλικά Σε ένα σιδηρομαγνητικό υλικό, το μαγνητικό πεδίο που επάγεται πρέπει να βρίσκει την ασυνέχεια υπό γωνία 90 ο ή 45 ο μοίρες.

Διαβάστε περισσότερα

Οι δύο θεμελιώδεις παράμετροι προσδιορισμού της ταχύτητας του φωτός στο κενό: Διηλεκτρική σταθερά ε0 Μαγνητική διαπερατότητα μ0

Οι δύο θεμελιώδεις παράμετροι προσδιορισμού της ταχύτητας του φωτός στο κενό: Διηλεκτρική σταθερά ε0 Μαγνητική διαπερατότητα μ0 Οι δύο θεμελιώδεις παράμετροι προσδιορισμού της ταχύτητας του φωτός στο κενό: Διηλεκτρική σταθερά ε0 Μαγνητική διαπερατότητα μ0 1 c 0 0 Όταν το φως αλληλεπιδρά με την ύλη, το ηλεκτρομαγνητικό πεδίο του

Διαβάστε περισσότερα

PLANCK 1900 Προκειμένου να εξηγήσει την ακτινοβολία του μέλανος σώματος αναγκάστηκε να υποθέσει ότι η ακτινοβολία εκπέμπεται σε κβάντα ενέργειας που

PLANCK 1900 Προκειμένου να εξηγήσει την ακτινοβολία του μέλανος σώματος αναγκάστηκε να υποθέσει ότι η ακτινοβολία εκπέμπεται σε κβάντα ενέργειας που ΑΤΟΜΙΚΗ ΦΥΣΙΚΗ PLANCK 1900 Προκειμένου να εξηγήσει την ακτινοβολία του μέλανος σώματος αναγκάστηκε να υποθέσει ότι η ακτινοβολία εκπέμπεται σε κβάντα ενέργειας που είναι ανάλογα με τη συχνότητα (f). PLANCK

Διαβάστε περισσότερα

Μελέτη και κατανόηση των διαφόρων φάσεων του υδρολογικού κύκλου.

Μελέτη και κατανόηση των διαφόρων φάσεων του υδρολογικού κύκλου. Ζαΐμης Γεώργιος Κλάδος της Υδρολογίας. Μελέτη και κατανόηση των διαφόρων φάσεων του υδρολογικού κύκλου. Η απόκτηση βασικών γνώσεων της ατμόσφαιρας και των μετεωρολογικών παραμέτρων που διαμορφώνουν το

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΣΥΣΤΗΜΑΤΩΝ ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΣΑΕ ΙΙ. Αισθητήρια θερμοκρασίας Εισαγωγή

ΕΡΓΑΣΤΗΡΙΟ ΣΥΣΤΗΜΑΤΩΝ ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΣΑΕ ΙΙ. Αισθητήρια θερμοκρασίας Εισαγωγή ΕΡΓΑΣΤΗΡΙΟ ΣΥΣΤΗΜΑΤΩΝ ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΣΑΕ ΙΙ Εργαστηριακή Άσκηση 1 Αισθητήρια θερμοκρασίας Εισαγωγή Η μέτρηση της θερμοκρασίας είναι μια σημαντική ασχολία για τους μηχανικούς παραγωγής γιατί είναι, συνήθως,

Διαβάστε περισσότερα

ηλεκτρικό ρεύμα ampere

ηλεκτρικό ρεύμα ampere Ηλεκτρικό ρεύμα Το ηλεκτρικό ρεύμα είναι ο ρυθμός με τον οποίο διέρχεται ηλεκτρικό φορτίο από μια περιοχή του χώρου. Η μονάδα μέτρησης του ηλεκτρικού ρεύματος στο σύστημα SI είναι το ampere (A). 1 A =

Διαβάστε περισσότερα