ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ"

Transcript

1 ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ Θέμα: Μέθοδοι Ανίχνευσης Σφαλμάτων σε Ενισχυτές Χαμηλού Θορύβου με κυκλωματα ενσωματωμενης αυτοεξετασης Ονοματεπώνυμο: Αντωνόπουλος Αντώνης Α.Ε.Μ.: 6611 Επιβλέπων Καθηγητής: Χατζόπουλος Αλκιβιάδης Θεσσαλονίκη, Ιούλιος 2014

2 Περίληψη Το αυξημένο κόστος για έλεγχο ολοκληρωμένων κυκλωμάτων κατά την παραγωγική διαδικασία, έχει οδηγήσει σε μία αυξανόμενη τάση σχεδίασης και χρησιμοποίησης ενσωματωμένων κυκλωμάτων ελέγχου, ώστε να επιτευχθεί ελάχιστο κόστος για ολοκληρωμένη κατασκευή. Πολλές τεχνικές έχουν αναπτυχθεί, ιδιαίτερα λόγω του συνεχούς μειώμενου μεγέθους των τρανζίστορ, που χρησιμοποιούνται για υλοποίηση κυκλωμάτων σε τηλεπικοινωνιακές διατάξεις ως επί το πλειστον. Στην εργασία αυτή γίνεται μία προσπάθεια να ερευνηθεί η αξιοπιστία, αλλά και η αποτελεσματικότητα τέτοιων ενσωματωμένων κυκλωμάτων ελέγχου (Built-In-Self-Test Circuits) σε RF κυκλώματα και συγκεκριμένα σε ενισχυτές χαμηλού. Θα χρησιμοποιηθούν τυπικές μέθοδοι σχεδιασμού για τους ενισχυτές, ενώ τα πειράματα θα περιλαμβάνουν έλεγχο των κυκλωμάτων BIST αρχικά με ιδανικές πηγές σημάτων σε υψηλές συχνότητες, μιας και η υψηλή συχνότητα λειτουργίας είναι χαρακτηριστικό δείγμα RF κυκλωμάτων και στη συνέχεια θα εξετασθεί κατά πόσον αυτά μπορούν να λειτουργήσουν έχοντας ως κύκλωμα υπό εξέταση τον LNA, που θα σχεδιαστεί. Το βασικό τμήμα της έρευνας είναι να ελεγχθούν τα όρια λειτουργίας και οι συνθήκες, υπό τις οποίες μπορεί να χρησιμοποιηθεί ένα τέτοιο κύκλωμα με στόχο την καλύτερη και πληρέστερη ανίχνευση πιθανών σφαλμάτων. Πιθανά σφάλματα στο εσωτερικό ενός ενισχυτή χαμηλού θορύβου μπορεί να προκαλέσει σφάλματα τόσο σε ηλεκτρικά χαρακτηριστικά, όσο και σε χρονικά χαρακτηριστικά, όπως η συχνότητα του σήματος εξόδου. Γι αυτό το λόγο θα μελετηθούν κυκλώματα ανίχνευσης όλων των ειδών σφαλμάτων. Σε όλα τα πειράματα και τις προσομοιώσεις χρησιμοποιήθηκε το πρόγραμμα Cadence Virtuoso και το εργαλείο Spectre, ενώ η σχεδίαση έγινε με την χρήση της τεχνολογίας CMOS UMC 0.18μm χρησιμοποιώντας τρανζίστορ άλλοτε με τάση τροφοδοσίας 1.8 και άλλοτε 3.3V, όπως ορίζονται κάθε φορά από τις προδιαγραφές των κατασκευαστών. i

3 Abstract Fault Detection Methods on LNAs, using Built-In-Self-Test Circuits The increasing cost of Integrated Circuits testing within the production process has created a trend of designing and usage of build-in-self-testing circuits, to achieve the lowest production cost. A variety of techniques has been created, especially due to the size of available transistors, which is constantly decreased. These techniques are used for the implementation of those circuits in telecommunication set-up. In this thesis, an effort is being made in order to investigate the reliability and the effectiveness of such circuits in RF applications, and specifically in Low Noise Amplifiers. Typical deisgn methods will be used for the amplifiers. As far experiments are concerned, they will include tests of BIST circuits using ideal signal sources in high frequency, which is the main characteristic of RF applications. Afterwards, it will be tested if those circuits can work effectively in a testing procedure of an LNA. The main part of the research is to test the limits and the conditions under which such a testing circuit can be used for better and fuller fault detection. Possible faults in the circuit of the Low Noise Amplifier can cause faults not only to the electrical characteristics, but also to the timing characteristics of an amplifier, such as the faulty frequency of the output signal. This is the main reason, that there will be used some testing circuits for the best fault coverage of almost all possible faults in an amplifier. Fir the experiments and the necessary simulations, Cadence Virtuoso will be used, especially the tool Spectre. Also, it is important to state that circuit design was done in CMOS UMC 0.18 um technology, using the available transistors that work with 1.8 V and 3.3 V power, as the manufacturer defines it. ii

4 Ευχαριστίες Θα ήθελα να ευχαριστήσω θερμά τον καθηγητή μου και επιβλέποντα της εργασίας αυτής, κ. Χατζόπουλο Αλκιβιάδη, γι8α την καθοδήγηση και την στήριξη σε όλες τις δυσκολίες για την ολοκλήρωση της διπλωματική εργασίας. Επίσης, ευχαριστώ για την πολύτιμη βοήθειά τους τον υποψήφιο διδάκτορα του Τμήματός μας, κ. Τσατσούλη Νίκο, για τις συμβουλές και την βοήθεια, που μου παρείχε, όσο και τον υποψήφιο διδάκτορα Βασίλη Γεράκη για την διευκόλυνση στη χρήση όλων των εργαλείων που χρησιμοποιήθηκαν για την υλοποίηση και προσομοίωση των κυκλωμάτων. Πολύτιμη ήταν και η συνεργασία με τους συναδέλφους Παπάζογλου Δήμητρα και Θωμά Κίζα, που συνέβαλαν στην αναγνώριση πολλών πιθανών προβλημάτων και στην επίλυσή τους. Τέλος, ένα θερμό ευχαριστώ στην οικογένεια και τους φίλους μου, ιδιαίτερα στην Καλτσίδη Γεωργία, Φλωρο Ηλια και Λαμπροπούλου Κλεονίκη για την στήριξη και την ανοχή τους σε όλη την διάρκεια εκπόνησης της διπλωματικής αυτής εργασίας. iii

5 Περιεχόμενα Περίληψη... i Abstract... ii Ευχαριστίες... iii Περιεχόμενα... iv Κατάλογος Εικόνων... vii Κατάλογος Πινάκων... ix Κατάλογος Διαγραμμάτων... x Κεφάλαιο 1 Εισαγωγή Πρόλογος Στόχος Διπλωματικής Εργασίας Δομή Εργασίας... 2 Κεφάλαιο 2 Γενικές Αρχές Δοκιμών Ολοκληρωμένων Κυκλωμάτων Βασικά Στοιχεία Διαδικασίας Ελέγχου Κυκλωμάτων Λάθη και Σφάλματα Κατηγοριοποίηση Σφαλμάτων Διάγνωση Σφαλμάτων Ψηφιακών Κυκλωμάτων Μοντέλα Σφαλμάτων Μοντέλα Σφαλμάτων σε Επίπεδο Τρανζίστορ Μοντέλα Σφαλμάτων σε Επίπεδο Πυλών Σφάλματα Γεφύρωσης Σφάλματα Καθυστέρησης Διάγνωση Σφαλμάτων Αναλογικών Κυκλωμάτων Προσέγγιση Αναλογικού Έλεγχου Διαφορετικές Μέθοδοι Αναλογικού Έλεγχου Δοκιμή Αναλογικών Κυκλωμάτων με τεχνικές ενσωματωμένης αυτοεξέτασης Κεφάλαιο 3 Γενικά Στοιχεία Ενισχυτών Χαμηλού Θορύβου Εισαγωγικά Στοιχεία Ενισχυτών Χαμηλού Θορύβου Πηγές θορύβου Θερμικός θόρυβος αντιστάτη Θερμικός θόρυβος σε MOS τρανζίστορ Θόρυβος ΜΟS καναλιού iv

6 Επαγόμενος θόρυβος πύλης Ροζ Θόρυβος Κρουστικός θόρυβος Απαιτήσεις των ενισχυτών χαμηλού θορύβου Προσαρμογή Συντελεστής θορύβου Κέρδος τάσης ή Κέρδος ισχύος Παραμόρφωση ενδοδιαμόρφωσης Ανάστροφη απομόνωση Σταθερότητα Γενικές τοπολογίες ενισχυτών χαμηλού θορύβου Ενισχυτής κοινής πηγής με επαγωγική ανάδραση Ενισχυτής Κοινής Πύλης Ενισχυτής Διακλάδωσης Ανάδρασης Ενισχυτής Ευρείας Ζώνης με Αντιστάθμιση Θορύβου Εύρος Ζώνης ενισχυτών χαμηλού θορύβου Κεφάλαιο 4 Γενικά στοιχεία αναλογικών κυκλωμάτων BIST Τεχνολογία MOS Συμπληρωματικά MOS Παράδειγμα Κατασκευής Αντιστροφέα Τεχνολογία CMOS UMC 0.18μm Αναλογικά Κυκλώματα ενσωματωμένης αυτοεξέτασης Αρχές Λειτουργίας Τεχνικές Σχεδιασμού Αναλογικών Κυκλωμάτων BIST Παραδείγματα κυκλωμάτων ενσωματωμένου ελέγχου Κύκλωμα ελέγχου μέτρησης παραμέτρων ενισχυτή χαμηλού θορύβου Κύκλωμα ελέγχου επικεντρωμένο σε σφάλματα ασύρματων πομπών Νέα Προσέγγιση Κυκλώματος Ενσωματωμένης Αυτοεξέτασης Κύκλωμα ελέγχου συστημάτων μετάδοσης δεδομένων υψηλής αξιοπιστίας55 Κεφάλαιο 5 Μεθοδολογία Σχεδίασης Σχεδίαση Ενισχυτών Χαμηλού Θορύβου Σχεδίαση ενισχυτή χαμηλού θορύβου κοινής πηγής με επαγωγική αντίδραση Σχεδίαση κυκλωμάτων ενσωματωμένης αυτοεξέτασης v

7 5.2.1 Σχεδίαση Κυκλώματος ενσωματωμένης αυτοεξέτασης μονής εισόδου Σχεδίαση Κυκλώματος ενσωματωμένης αυτοεξέτασης διαφορικής εισόδου Ανιχνευτής Μεταβολών Πλάτους Ανιχνευτής Μεταβολών Συχνότητας Ενοποίηση κυκλώματος ενισχυτή χαμηλού θορύβου και κυκλώματος αυτοεξέτασης Σχεδίαση αντιστροφέα και απομονωτή Κεφάλαιο 6 Πειραματικός Έλεγχος και Προσομοίωση Κυκλωμάτων Προσομοίωση κυκλώματος Ενισχυτή Χαμηλού Θορύβου μονής εξόδου 2GHz Παρουσίαση και σχολιασμός Αποτελεσμάτων ενισχυτή χαμηλού θορύβου μονής εξόδου 2GHz Προσομοίωση κυκλώματος ενσωματωμένης αυτοεξέτασης μονής εισόδου Πειράματα με ιδανικές Πηγές Σήματος Προσομοίωση V in=1.5 V, f=2 GHz με πόλωση 3.5 V DC Προσομοίωση V in=2 V, f=2 GHz με πόλωση 3.5 V DC Προσομοίωση V in=2.5 V, f=2 GHz με πόλωση 3.5 V DC Προσομοίωση V in=3 V, f=2 GHz με πόλωση 3.5 V DC Προσομοίωση κυκλώματος αυτοεξέτασης μονής εισόδου με ενισχυτή χαμηλού θορύβου 2GHz Προσομοίωση πραγματικών σφαλμάτων σε ενισχυτή με κύκλωμα ενσωματωμένης αυτοεξέτασης μονής εισόδου Σχολιασμός Αποτελεσμάτων Κυκλώματος Αυτοεξέτασης μονής εισόδου Προσομοίωση κυκλώματος ενσωματωμένης αυτοεξέτασης διαφορικής εισόδου Πείραμα Ανίχνευσης Σφάλματος πλάτους Πείραμα Ανίχνευσης Σφάλματος συχνότητας Πακέτο Ολοκληρωμένων Πειραμάτων κυκλώματος ενσωματωμένης αυτοεξέτασης Διαφορικού Σήματος Σχολιασμός αποτελεσμάτων κυκλώματος ενσωματωμένης αυτοεξέτασης διαφορικής εισόδου Κεφάλαιο 7 Συμπεράσματα και μελλοντική έρευνα Πίνακας Ορολογίας Βιβλιογραφία Παράρτημα vi

8 Κατάλογος Εικόνων Εικόνα 1 Κατηγοριοποίηση Σφαλμάτων Ολοκληρωμένων Κυκλωμάτων... 9 Εικόνα 2 Ιεραρχία σπουδαιότητας σφαλμάτων... 9 Εικόνα 3 Επίπεδα Αναλογικού Έλεγχου Ολοκληρωμένων Κυκλωμάτων Εικόνα 4 Είδη Προσεγγίσεων Αναλογικού Έλεγχου Κυκλωμάτων Εικόνα 5 Ενδεικτικό Σχηματικό Ανάλυσης Κυματοχαρής Εικόνα 6 Διάγραμμα Στατιστικής Ανάλυσης Έλεγχου Κυκλωμάτων Εικόνα 7 Διαχωρισμός Μεθόδων Αναλογικού Έλεγχου Εικόνα 8 Τυπική Συνδεσμολογία κυκλώματος BICS Εικόνα 9 Χαρακτηριστική τηλεπικοινωνιακή διάταξη Εικόνα 10 Παράγοντας Θορύβου στην είσοδο και στην έξοδο Εικόνα 11 Σχηματικό ενισχυή κοινής πηγής με επαγωγική αντίδραση Εικόνα 12 Σχηματικό ενισχυτή κοινής πύλης Εικόνα 13 Σχηματικό ενισχυτή shunt-feedback Εικόνα 14 Σχηματικό ενισχυτή Noise-Cancelling Wide-Band Εικόνα 15 Δομή ενός CMOS Εικόνα 16 Σχηματικό τυπικού CMOS αντιστροφέα Εικόνα 17 1 ο Βήμα κατασκευής CMOS αντιστροφέα Εικόνα 18 2 Ο Βήμα κατασκευής CMOS αντιστροφέα Εικόνα 19 3 ο Βήμα κατασκευής CMOS αντιστροφέα Εικόνα 20 4 ο Βήμα κατασκευής CMOS αντιστροφέα Εικόνα 21 5 ο Βήμα κατασκευής CMOS αντιστροφέα Εικόνα 22 Τελική Δομή ενός CMOS αντιστροφέα Εικόνα 23 6 ο Βήμα κατασκευής CMOS αντιστροφέα Εικόνα 24 Σχηματικό ενισχυτή κοινής πηγής Εικόνα 25 Σχηματικό αντιστροφέα Εικόνα 26 Σχηματικό απομονωτή εξόδου Εικόνα 27 Σχηματικό Τελεστικού Ενισχυτή Εικόνα 28 Σχηματικό κυκλώματος ελέγχου για μέτρηση παραμέτρων ενισχυτή Εικόνα 29 Πλήρης τοπολογία εξέτασης ενισχυτή χαμηλού θορύβου για σφάλματα Εικόνα 30 Σχηματικό κυκλώματος ενσωματωμένης αυτοεξέτασης για ταλαντωτή Εικόνα 31 Πλήρες Κύκλωμα ελέγχου ενισχυτή με χρήση ανιχνευτών αιχμής Εικόνα 32 Τοπολογία Συγκριτή Τάσης Εικόνα 33 Ανιχνευτής Αιχμής Εικόνα 34 Τοπολογία κυκλώματος ελέγχου ενισχυτή με χρήση συγκριτών τάσης Εικόνα 35 Τοπολογία ελέγχου ενισχυτή με ανίχνευση μεταβολών σε ρεύμα και τάση πόλωσης Εικόνα 36 Ενδεικτικό Σχηματικό κασκωδικού ενισχυτή χαμηλού θορύβου Εικόνα 37 Σχηματικό Ανιχνευτών ρεύματος και τάσης πόλωσης Εικόνα 38 Σχηματικά Σχεδιαζόμενου ενισχυτή χαμηλού θορύβου κοινής πηγής με επαγωγική αντίδραση Εικόνα 39 Ισοδύναμο Κύκλωμα ενισχυτή χαμηλού θορύβου κοινής πηγής στην είσοδο vii

9 Εικόνα 40 κύκλωμα κασκωδικού ενισχυτή χαμηλού θορύβου με προσαρμογή στην είσοδο και στην έξοδο Εικόνα 41 Σχηματικό κυκλώματος αυτοεξέτασης μονής εισόδου Εικόνα 42 Σχηματικό Ανιχνευτή Μεταβολών Πλάτους (AAD) Εικόνα 43 Σχηματικό ανιχνευτή μεταβολών συχνότητας (PFD) Εικόνα 44 Σχηματικό D Flip-Flop Εικόνα 45 Σχηματικό Charge Pump Εικόνα 46 Τροποποιημένο Σχηματικό Charge Pump Εικόνα 47 Σχηματικό Σχεδιάζόμενου ενισχυτή χαμηλού θορύβου στο Cadence Virtuoso Εικόνα 48 Σχηματικό πραγματικών βλαβών ενισχυτή χαμηλού θορύβου Εικόνα 49 Σχηματικό Ανιχνευτή Μεταβολών πλάτους στο Cadence Virtuoso Εικόνα 50 Σχηματικό D Flip-Flop στο Cadence Virtuoso Εικόνα 51 Σχηματικό Charge Pump και Απομονωτή Εξόδου στο Cadence Virtuoso Εικόνα 52 Σχηματικό πύλης OR 3 εισόδων Εικόνα 53 Σχηματικό συνολικού κυκλώματος αυτοεξέτασης διαφορικής εισόδου Εικόνα 54 Καθορισμός παραμέτρων PSS προσομοίωσης Εικόνα 55 Καθορισμός παραμέτρων PORT εισόδου Εικόνα 56 Παράδειγμα Παραμετρικής Ανάλυσης Πλάτους Τρανζίστορ Καθρεπτών viii

10 Κατάλογος Πινάκων Πίνακας 1 Μοντέλα Σφαλμάτων Πίνακας 2 Σημεία Ανάλυσης κυματοχαρής Πίνακας 3 Συγκριτικός Πίνακας Προσεγγίσεων Αναλογικού Έλεγχου Πίνακας 4 Παράμετροι LNA και μίκτη παραδείγματος Πίνακας 5 Παράμετροι Σχεδίασης τεχνολογίας CMOS UMC 0.18 μm Πίνακας 6 Μέθοδοι Σχεδίασης ενισχυτών χαμηλού θορύβου Πίνακας 7 Προδιαγραφές Σχεδίασης ενισχυτή χαμηλού θορύβου μονής εξόδου Πίνακας 8 Παράμετροι Σχεδίασης κυκλώματος αυτοεξέτασης μονής εισόδου Πίνακας 9 Παράμετροι Σχεδίασης Ανιχνευτή Μεταβολών Πλάτους Πίνακας 10 Παράμετροι Σχεδίασης Προσαρμοσμένου Απομονωτή Πίνακας 11 Αποτελέσματα Επιδόσεων ενισχυτή χαμηλού θορύβου μονής εξόδου Πίνακας 12 Όρια Ανίχνευσης σφαλμάτων βραχυκυκλώματος Πίνακας 13 Όρια Ανίχνευσης σφαλμάτων ανοιχτού κυκλώματος Πίνακας 14 Όρια Ανίχνευσης σφαλμάτων χωρητικά ανοιχτού κυκλώματος Πίνακας 15 Ανάλυση Ανίχνευσης Σφαλμάτων κυκλώματος αυτοεξέτασης Πίνακας 16 Αποτελέσματα κάλυψης σφαλμάτων κυκλώματος αυτοεξέτασης σε ενισχυτή χαμηλού θορύβου μονής εξόδου Πίνακας 17 Παράμετροι Ολοκληρωμένου Πειράματος Πίνακας 18 Παράμετροι Ολοκληρωμένου Πειράματος Πίνακας 19 Παράμετροι Ολοκληρωμένου Πειράματος Πίνακας 20 Παράμετροι Ολοκληρωμένου Πειράματος Πίνακας 21 Παράμετροι Ολοκληρωμένου Πειράματος Πίνακας 22 Πίνακας Ορολογίας ix

11 Κατάλογος Διαγραμμάτων Διάγραμμα 1 Μέθοδος Υπολογισμού IIP Διάγραμμα 2 Παράδειγμα επίδρασης κέρδους LNA ΣΤΟ IMFDR Διάγραμμα 3 Χαρακτηριστική καμπύλη CMOS inverter Διάγραμμα 4 Διάγραμμα συσχέτισης λόγου σπειρών μετασχηματιστή εισόδου και πραγματικού μέρους αντίστασης εισόδου Διάγραμμα 5 Διάγραμμα συσχέτισης αυτεπαγωγής L g και κέρδους ενισχυτή Διάγραμμα 6 Διάγραμμα συσχέτισης αυτεπαγωγής L g και Παράγοντα Θορύβου Διάγραμμα 7 Διάγραμμα συσχέτισης αυτεπαγωγής L g και φανταστικού μέρους αντίστασης εισόδου Διάγραμμα 8 Διάγραμμα Συσχέτισης λόγου σπειρών μετασχηματιστή εξόδου και αντίστασης εξόδου Διάγραμμα 9 Διάγραμμα παραμέτρου S 11 ενισχυτή χαμηλού θορύβου Διάγραμμα 10 Διάγραμμα παραμέτρου S 12 ενισχυτή χαμηλού θορύβου Διάγραμμα 11 Διάγραμμα παραμέτρου S 21 ενισχυτή χαμηλού θορύβου Διάγραμμα 12 Διάγραμμα παραμέτρου S 22 ενισχυτή χαμηλού θορύβου Διάγραμμα 13 Διάγραμμα Παράγοντα Θορύβου ενισχυτή χαμηλού θορύβου Διάγραμμα 14 Διάγραμμα IIP3 ενισχυτή χαμηλού θορύβου Διάγραμμα 15 Διάγραμμα 1dB Σημείου Συμπίεσης ενισχυτή χαμηλού θορύβου Διάγραμμα 16 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου (Vin=1.5 V) Διάγραμμα 17 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου (Vin=2 V) Διάγραμμα 18 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου (Vin=2.5 V) Διάγραμμα 19 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου (Vin=3 V) Διάγραμμα 20 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου με χρηση του σχεδιασμένου ενισχυτή χαμηλού θορύβου Διάγραμμα 21 Διάγραμμα Ευαισθησίας κυκλώματος αυτοεξέτασης μονής εισόδου συγκριτικά με το πλάτος σήματος εισόδου Διάγραμμα 22 Αποτελέσματα πειράματος Ανιχνευτή Μεταβολών Πλάτους (RF out->rf out+).. 93 Διάγραμμα 23 Αποτελέσματα πειράματος Ανιχνευτή ΜεταβολώνΠλάτους (RF out->rf out+) Διάγραμμα 24 Αποτελέσματα πειράματος Ανιχνευτή Μεταβολών Συχνότητας Διάγραμμα 25 Αποτελέσματα Ολοκληρωμένου Πειράματος Διάγραμμα 26 Αποτελέσματα Ολοκληρωμένου Πειράματος Διάγραμμα 27 Αποτελέσματα Ολοκληρωμένου Πειράματος Διάγραμμα 28 Αποτελέσματα Ολοκληρωμένου Πειράματος Διάγραμμα 29 Αποτελέσματα Ολοκληρωμένου Πειράματος x

12 Κεφάλαιο 1 Εισαγωγή 1.1 Πρόλογος Η κατασκευή ολοκληρωμένων κυκλωμάτων τα τελευταία χρόνια έχει αρχίσει να εξελίσσεται με ταχείς ρυθμούς, ιδίως ως προς το μεγεθος των τρανζίστορ, που χρησιμοποιούνται. Με τα μεγέθη τους (μήκος καναλιού) να έχουν υποχωρήσει ακόμη και κάτω από τα 10 nm, εύκολα μπορεί αν γίνει αντιληπτό, ότι και οι μέθοδοι και οι τεχνικές, που χρησιμοποιούνται απαιτούν δύσκολες διαδικασίες, που πρέπει να γίνουν με μεγάλη ακρίβεια. Πολύ πιθανόν είναι, λοιπόν, να προκύψουν αστοχίες, σφάλματα ή και σοβαρά προβλήματα ως προς την λειτουργία των παραχθέντων ολοκληρωμένων κυκλωμάτων. Έχει αποδειχθεί ότι η καλύτερη λύση στην αποφυγή παραγωγής δυσλειτουργικών κυκλωμάτων, θα πρέπει αυτά να αναγνωριστούν όσο το δυνατόν νωρίτερα και στα αρχικά στάδια της διαδικασίας κατασκευής τους. Όσο προχωρούμε σε μεταγενέστερα στάδια της διαδικασίας παραγωγής, καθίσταται πολύ πιο δυσκολότερη τόσο η ανίχνευση σφαλμάτων, όσο και ακριβότερη η γενικότερη μέθοδος ανίχνευσής τους. Ένας μεγάλος διαχωρισμός στα σχεδιαζόμενα ολοκληρωμένα κυκλώματα είναι σε αναλογικά και ψηφιακά. Οι μέθοδοι ανίχνευσης σφαλμάτων διαφέρουν, δίνοντας ένα πλεονέκτημα ευκολίας και απλότητας στα ψηφιακά, ενώ στα αναλογικά υπάρχει η ευελιξία προσαρμογής των κυκλωμάτων υπό 1

13 έλεγχο, επιτρέποντας αλλαγές, που μπορεί να οδηγήσουν σε καλύτερη ανίχννευση σφαλμάτων ή και αναγνώριση περισσότερων από αυτά. Το κόστος ολοκληρωμένης παραγωγής θεωρείται ακόμη σχετικά υψηλό, αφού ο έλεγχος των ολοκληρωμένων κυκλωμάτων αποτελεί ίσως το μεγαλύτερο μέρος αυτού, που μπορεί να ξεπεράσει ακόμη και το 50%. Για τον λόγο αυτό, έχει δημιουργηθεί η τάση να βρεθούν νέες μέθοδοι και τρόποι αποδοτικότερου και αποτελεσματικότερου ελέγχου των παραχθέντων Ολοκληρωμένων Κυκλώματων με στόχο την περαιτέρω μείωση αυτού του κόστους, μετακυλύοντας αυτή τη μείωση και στην τελική τιμή των κυκλωμάτων αυτών. 1.2 Στόχος Διπλωματικής Εργασίας Στην εργασία θα σχεδιαστούν και θα προσομοιωθούν ενσωματωμένα κυκλώματα ελέγχου για ενισχυτές χαμηλού θορύβου, οι οποίοι επίσης θα υλοποιηθούν σε συγκεκριμένη τεχνολογία ανάπτυξης. Ο κύριος στόχος είναι να μελετηθεί η αποτελεσματικότητα των κυκλωμάτων ελέγχου και οι περιορισμοί, που τυχόν θα υπάρχουν για την χρήση και το εύρος των εύρος εφαρμογών, που μπορούν να ενσωματωθούν. Εν ολίγοις, για κάθε κύκλωμα ελέγχου, θα δημιουργηθεί ένα διάγραμμα με διαφορετικές περιπτώσεις σημάτων εισόδου (άλλοτε ιδανικών πηγών και άλλοτε πραγματικών ενισχυτών LNA), απ όπου θα μπορέσει να εξαχθεί ένα συμπέρασμα για την αποτελεσματικότητα και την ευαισθησία ανίχνευσης σφαλμάτων σε κάθε περίπτωση. Τέλος, θα διατυπωθούν τα συμπεράσματα για τις υλοποιήσεις των κυκλωμάτων ελέγχου, που έγιναν και πιθανές επεκτάσεις και βελτιώσεις τους για καλύτερη και μεγαλύτερου εύρους μελέτη. 1.3 Δομή Εργασίας Η παρούσα διπλωματική εργασία αποτελείται από 7 κεφάλαια και ένα παράρτημα. Το πρώτο κεφάλαιο περιέχει κάποια βασικά στοιχεία για το περιεχόμενο και τους στόχους της έρευνας. Το δεύτερο κεφάλαιο αναλύει το θεωρητικό υπόβαθρο της τεχνολογίας και των κατασκευαστικών στοιχείων των κυκλωμάτων, που θα χρησιμοποιηθούν στην 2

14 πειραματική διαδικασία. Τα επόμενα δύο κεφάλαια αναλύουν θεωρητικά τα δύο ξεχωριστά στοιχεία της εργασίας, τους ενισχυτές χαμηλού θορύβου και τα κυκλώματα BIST αντίστοιχα. Και τα δύο αυτά μέρη θα χρησιμοποιηθούν στην συνέχεια, οπότε κρίθηκε σκόπιμο να αναλυθούν σε βάθος ως προς την θεωρητική τους βάση. Το πέμπτο κεφάλαιο περιλαμβάνει διάφορες τεχνικές, που υπάρχουν και χρησιμοποιούνται ως προς την σχεδίαση των μερών των κυκλωμάτων, που υπάρχουν στην παρούσα εργασία. Το έκτο κατά σειρά κεφάλαιο αποτελείται από το πειραματικό μέρος και περιλαμβάνει όλες τις διαδικασίες σχεδιασμού, αλλά και υλοποίηση συγκεκριμένων κυκλωμάτων μέσω του Cadence Virtuoso και του εργαλείου Spectre για την εξαγωγή ορθών συμπερασμάτων για την λειτουργία των κυκλωμάτων. Το τελευταίο κεφάλαιο της εργασίας είναι μία σύνοψη όσων έγιναν και μελετήθηκαν, όπως και τα συμπεράσματα, που συνήχθησαν με το πέρας των προσομοιώσεων και την γενικότερης έρευνας. Ακολουθούν πίνακας με ορισμένες ορολογίες για καλύτερη κατανόηση μερικών εννοιών και η βιβλιογραφία για όσες πηγές πληροφοριών χρησιμοποιήθηκαν τόσο για τα θεωρητικό όσο και τα πειραματικό μέρος της έρευνας. Τέλος, στο παράρτημα, που παρατίθεται αναλύονται λίγο διεξοδικότερα ορισμένες τεχνικές, άλλες απλές και άλλες περισσότερο σύνθετες, που απαιτούνταν στο περιβάλλον του Cadence Virtuoso. 3

15 Κεφάλαιο 2 Γενικές Αρχές Δοκιμών Ολοκληρωμένων Κυκλωμάτων 2.1 Βασικά Στοιχεία Διαδικασίας Ελέγχου Κυκλωμάτων Ανίχνευση σφαλμάτων μπορεί να γίνει: Α) κατά την λειτουργία του κυκλώματος (concurrent on-line testing) είτε Β) με διακοπή της λειτουργίας του κυκλώματος για έλεγχό του (periodic on-line testing) Σε ένα εργοστάσιο κατασκευής υπάρχουν 3 μέθοδοι δοκιμής των κυκλωμάτων υπό κατασκευή: 1) λειτουργική δοκιμή, που αναφέρεται στην ορθή λειτουργία του κυκλώματος 2) δοκιμή DC παραμέτρων, που μελετά τις παραμέτρους λειτουργίας ως προς ρεύματα που διαρρέουν το κύκλωμα, εύρος τάσεων λειτουργίας και άλλες παρόμοιες παραμέτρους στατικής λειτουργίας του κυκλώματος. 3) δοκιμή AC παραμέτρων, δηλαδή χρόνους απόκρισης του κυκλώματος, χρόνους ανόδου, καθόλου, κ.λ.π. και γενικά παραμέτρους κατά την δυναμική λειτουργία. Με την ολοκλήρωση των ελέγχων των ολοκληρωμένων κυκλωμάτων, που έχουν παραχθεί σε οποιοδήποτε στάδιο της παραγωγής (συνήθως γίνονται κατά την ολοκλήρωσή της), θα πρέπει να εξαχθεί ένα συμπέρασμα για την όλη διαδικασία κατασκευής των 4

16 κυκλωμάτων. Για τον λόγο αυτόν έχουν θεσπιστεί ορισμένοι δείκτες, που αφορούν την απόδοση αλλά και την αποτελεσματικότητα της παραγωγικής διαδικασίας. Ο πρώτος δείκτης, που καταδεικνύει το ποσοστό των κυκλωμάτων, που λειτουργούν σωστά σε σχέση με τα συνολικά κυκλώματα, που έχουν κατασκευαστεί και ονομάζεται Κατασκευαστική Απόδοση (Yield). Y Αριθμος Λειτουργικα Σωστων Κυκλωματων Συνολικος Αριθμος Κατασκευασμενων Κυκλωματων Επίσης, μετά την ολοκλήρωση των ελέγχων στα ολοκληρωμένα κυκλώματα, ενδέχεται να υπάρχουν κυκλώματα, που δεν λειτουργούν σωστά, αλλά για κάποιους λόγους να μην έχουν ανιχνευθεί σφάλματα σε αυτά ή επίσης κυκλώματα που λειτουργούν σωστά να έχουν προσμετρηθεί ως δυσλειτουργικά. Και στις δύο περιπτώσεις πρόκειται για λανθασμένη εκτίμηση του συστήματος ελέγχου και ειδικότερα στην δεύτερη περίπτωση, όπου επιτρέπονται προς περεταίρω χρήση κυκλώματα με σφάλματα και δυσλειτουργίες. Για αυτήν ακριβώς την περίπτωση, χρησιμοποιείται ακόμη ένας δείκτης, που ονομάζεται Ύψος Ατελειών (Defect Level). Ο δείκτης αυτός, λοιπόν, περιγράφει τα ελαττωματικά ολοκληρωμένα κυκλώματα, τα οποία όμως πέρασαν επιτυχώς την διαδικασία ελέγχου. DL Αριθμος Ελαττωματικων Κυκλωματων που περασαν την Δοκιμη Συνολικος Αριθμος Κυκλωματων που περασαν την Δοκιμη Τέλος, ένας τελευταίος δείκτης, που συνδέει το ύψος ατελειών με την κατασκευαστική απόδοση της όλης διαδικασίας παραγωγής είναι ο Λόγος Απόρριψης (Reject Ratio), που αποτελεί τον λόγο των ελαττωματικών κυκλωμάτων, που θεωρήθηκε ότι λειτουργούν σωστά προς τον αριθμό των κυκλωμάτων, που λειτουργούν σωστά. RR Αριθμος Ελαττωματικων που θεωρηθηκαν ως "καλα" Αριθμος ορθων εκτιμημενων ως "καλα" 5

17 Τα κυριότερα στοιχεία της διαδικασίας δοκιμών παραγωγής αποτελούν τα παρακάτω: Μοντέλα Βλαβών Αναφερόμενοι στα μοντέλα βλαβών εννοούμε τα μοντέλα, τα οποία είναι υπεύθυνα σε μία διαδικασία ελέγχου να ανιχνεύσει τον λόγο ύπαρξης και εμφάνισης σφαλμάτων καθώς και την επίδραση, που μπορεί να έχουν στην λειτουργία του συνολικού κυκλώματος. Το πρώτο μοντέλο αυτού του είδους, που χρησιμοποιήθηκε ήταν το stack-at-0 ή stack-at-1, που αναφερόταν στην ύπαρξη σφάλματος, λόγω «κολλήματος» ενός κόμβου σε συγκεκριμένη τιμή, ασχέτως εισόδων. Πλέον, ένα μοντέλο, που μελετάται και χρησιμοποιείται αναφέρεται σε βραχυκυκλώματα και ανοιχτά κυκλώματα, που μπορεί να υπάρξουν σε ένα ολοκληρωμένο κύκλωμα κατά την διαδικασία του testing. Παρατηρησιμότητα Με τον όρο αυτό αναφερόμαστε στη δυνατότητα να παρατηρηθεί μία τιμή ενός συγκεκριμένου κόμβου αό ολόκληρο το ολοκληρωμένο κύκλωμα, που υφίσταται τον έλεγχο. Ελεγξιμότητα Παρόμοιο χαρακτηριστικό με την παρατηρησιμότητα, με την διαφορά ότι σε αυτή την περίπτωση αναφερόμαστε στην δυνατότητα ελέγχου της τιμής εξόδου ενός κόμβου. Επαναληψιμότητα Με τον όρο αυτό αναφερόμαστε στη δυνατότητα του κυκλώματος να έχει την ίδια έξοδο, εισάγοντας επαναληπτικά τις ίδιες εισόδους. Προφανώς επιθυμητό είναι συνεχώς να υπάρχει η ίδια έξοδος, αν και δεν είναι πάντοτε τόσο αποτελεσματικό. Επιβιωσιμότητα Επιβιωσιμότητα ενός κυκλώματος είναι η ικανότητα, που έχει αυτό να επιβιώσει μετά από ένα σφάλμα, που μπορεί να προκύψει κάποια στιγμή κατά την λειτουργία του ή την διαδικασία ελέγχου στην περίπτωση αυτή. 6

18 Κάλυψη λαθών Η κάλυψη λαθών είναι ένα από τα πιο σημαντικά στοιχεία κατά τον έλεγχο ενός ολοκληρωμένου κυκλώματος. Αναφέρεται στην ικανότητα να ανιχνευθούν όλα τα πιθανά σφάλματα, που τυχόν θα προκύψουν κατά την λειτουργία. Επιθυμητή τιμή της κάλυψης λαθών είναι κοντά στο 95-98%. Αυτόματη Παραγωγή Διανυσμάτων Δοκιμής Η αυτόματη παραγωγή διανυσμάτων δοκιμής (ATPG), χρησιμοποιείται κατά κόρον στην διαδικασία ελέγχου ενός ολοκληρωμένου κυκλώματος, μιας και είναι απαραίτητα, ώστε να εφαρμόσουμε τα τεστ, που απαιτούνται για την ανίχνευση σφαλμάτων. Με την παραγωγή των διανυσμάτων ελέγχου μπορεί να ξεκινήσει αμέσως ο έλεγχος ή πρώτα να εφαρμοστούν τεχνικές βελτιστοποίησης και βελτίωσης της απόδοσης αυτών των διανυσμάτων. Για την παραγωγή των test patterns υπάρχουν αρκετοί αλγόριθμοι, που έχουν αρκετά μεγάλη αποδοτικότητα. Δοκιμή λαθών χρονισμού Τα λάθη χρονισμού δεν έχουν ιδιαίτερη σχέση με όλα τα υπόλοιπα χαρακτηριστικά. Στο στάδιο αυτό ελέγχονται πιθανά λάθη, που οφείλονται στους χρόνους διάδοσης των πυλών του κυκλώματος ή σε άλλα λάθη, που αφορούν αποκλειστικά τον χρονισμό (clocking) σε ένα ολοκληρωμένο κύκλωμα. 2.2 Λάθη και Σφάλματα Όταν αναφερόμαστε σε ένα ελάττωμα (defect) ενός κυκλώματος, εννοούμε πιθανά κατασκευαστικά λάθη ως προς το κύκλωμα που είχε αρχικά σχεδιαστεί είτε κάποια μεταβολή στις παραμέτρους του. Τέτοια ελαττώματα μπορεί να προκύψουν είτε κατά την παραγωγική διαδικασία λόγω κάποιων προβλημάτων στην παραγωγή, στην διαδικασία «ντοπαρίσματος», συσκευασίας του ολοκληρωμένου κυκλώματος είτε τυχαία λόγω μεταβολής των παραμέτρων του κυκλώματος, που μπορεί να ευθύνονται φυσικές παράμετροι (υγρασία, θερμοκρασία). Ελαττώματα, λοιπόν, θεωρούνται πιθανά βραχυκυκλώματα, ανοιχτά κυκλώματα, μετατοπίσεις στις σχεδιασμένες μάσκες, κ.λ.π. 7

19 Αρκετά διαφορετικά είναι τα λάθη (errors) που μπορεί αν διαθέτει ένα κύκλωμα. Εδώ πλέον δεν αναφερόμαστε σε φυσικά προβλήματα του κυκλώματος, που μπορεί να έχουν δημιουργηθεί από την υπαιτιότητα του ανθρώπινου παράγοντα, αλλά σε προβλήματα απόκρισης του κυκλώματος, όπως για παράδειγμα σε λανθασμένη έξοδο ενός αποτελέσματος, είτε άλλες φορές στην διατήρηση ίδιας τιμής για ένα κύκλωμα, που θα έπρεπε να αλλάξει σε κάποια χρονική στιγμή τιμή εξόδου (stack-at error) Κατηγοριοποίηση Σφαλμάτων Τα σφάλματα, που μπορούν να προκύψουν σε ένα αναλογικό ολοκληρωμένο κύκλωμα μπορούν να κατηγοριοποιηθούν με διάφορους τρόπους, δυο εκ των οποίων είναι οι συχνότεροι. Αυτοί λοιπόν, αναφέρονται στην πολλαπλότητα των σφαλμάτων, που υφίσταται το κύκλωμα και στο είδος αυτών των σφαλμάτων. Το είδος των σφαλμάτων μπορεί να διαχωριστεί ακολούθως σε υποκατηγορίες, σε καταστροφικά σφάλματα και σε παραμετρικά. Όταν γίνεται λόγος για καταστροφικά σφάλματα, αναφερόμαστε σε σημαντικά σφάλματα στο κύριο «σώμα» του ολοκληρωμένου κυκλώματος. Τέτοιου είδους προβλήματα μπορεί να είναι πλήρως ανοιχτοί κλάδοι, μεγάλες βραχυκυκλώσεις κόμβων, που συνήθως οφείλονται είτε σε έντονη αφαίρεση υλικού είτε σε υπερβολική εναπόθεση μέταλλου κατά την διαδικασία κατασκευής του ολοκληρωμένου κυκλώματος. Αντίθετα, τα παραμετρικά σφάλματα, χαρακτηρίζονται ως μικρότερης σημασίας, μιας και στην πλειονότητα τους δεν οδηγούν σε καταστροφικά αποτελέσματα κατά την λειτουργία η σε ιδιαίτερα απρόσμενες συμπεριφορές του κυκλώματος. Αυτά τα σφάλματα, λοιπόν, οφείλονται σε αλλαγές στις τιμές παραμέτρων στοιχείων του κυκλώματος προκαλώντας μικρής κλίμακας ανοιχτοκυκλώματα η βραχυκυκλώματα, ανάλογα με το είδος του στοιχείου και την χρησιμότητα που έχει στο συνολικό κύκλωμα. Όσον άφορα στον αριθμό των σφαλμάτων του κυκλώματος, όπως ειπώθηκε και παραπάνω, μπορεί να γίνει ο διαχωρισμός απλού και πολλαπλού σφάλματος σε ένα ολοκληρωμένο κύκλωμα. Η πλειονότητα των σφαλμάτων είναι άπλα σε αναλογία περίπου 70-80%. 8

20 Εικόνα 1 Κατηγοριοποίηση Σφαλμάτων Ολοκληρωμένων Κυκλωμάτων Προφανώς, σε κάθε κύκλωμα, που ελέγχεται υπάρχουν αρκετά είδη σφαλμάτων, που μπορεί να προκύψουν, τόσο καταστροφικά (hard faults), όσοι και παραμετρικά (soft faults). Ωστόσο, υπάρχει μια ιεραρχία και στο κατά πόσοιν μπορούν να επιδράσουν στην απόκριση και την αποτελεσματικότητα της εφαρμογής, που χρησιμοποιεί το ολοκληρωμένο κύκλωμα. Στο παρακάτω σχήμα φαίνεται η διαφοροποίηση της λειτουργίας γύρω από την ονομαστική περιοχή λειτουργίας, με βάση σφάλματα που μπορούν να προκύψουν. Στο μέσον είναι η σωστή λειτουργία του κυκλώματος και όσοι απομακρυνόμαστε προς τα άκρα εμφανίζονται κατά σειρά soft faults και hard faults, φθάνοντας στην τελική λειτουργική καταστροφή του ολοκληρωμένου κυκλώματος. Εικόνα 2 Ιεραρχία σπουδαιότητας σφαλμάτων Το κύριο πρόβλημα με τα λάθη είναι ότι δεν μπορούν να περιγραφούν με έναν ξεκάθαρο τρόπο, όπως τα ελαττώματα, γι αυτό και προσπαθούμε να τα μελετήσου μέσω μοντέλων, που σχεδιάζουμε και υλοποιούμε, τα οποία και ονομάζουμε σφάλματα, εξηγώντας με απλούστερο τρόπο τα λάθη, που προκύπτουν από την φυσική επίδραση των 9

21 ελαττωμάτων. Έτσι, λοιπόν, ένα σφάλμα ορίζεται ως αναπαράσταση ενός ελαττώματος στο λέιτουργικό μοντέλο του κυκλώματος. Για ένα μοντέλο είναι επίσης σημαντικό να μελετηθεί η κάλυψη σφαλμάτων, όπως ονομάζεται, ουσιαστικά δηλαδή να εξεταστεί ο αριθμός των σφαλμάτων, που είναι σε θέση να ανιχνεύσει, σε σχέση με όλα όσα μπορεί αν προκύψουν κατά την λειτουργία ενός κυκλώματος, που είναι υπό έλεγχο. Προφανώς για ένα μοντέλο ελέγχου, αυτός ο δείκτης είναι πολύ σημαντικός και θέλουμε να είναι κατά το δυνατόν μεγαλύτερος, με ιδανική περίπτωση να προσεγγίζει το 99%. 2.3 Διάγνωση Σφαλμάτων Ψηφιακών Κυκλωμάτων Μοντέλα Σφαλμάτων Τα μοντέλα σφαλμάτων μπορούν να διαχωριστούν στις εξής κατηγορίες: 1) Μοντέλα σε επίπεδο τρναζίστορ 2) Μοντέλα σε επίπεδο πύλης 3) Μοντέλα σφαλμάτων σε επίπεδο λειτουργικών μονάδων Επίσης, μπορούν να οριστούν και κάποια επιπλέον είδη μοντέλων σφαλμάτων, που αφορούν ειδικές περιπτώσεις κυκλωμάτων, όπως: a. Αλληλεπίδραση (crosstalk) κόμβων ενός κυκλώματος, που θεωρητικά είναι ανεξάρτητοι. b. Σταδιακή μεταβολή των παραμέτρων λειτουργίας του κυκλώματος. Μοντέλο Σφάλματος Απλό Σφάλμα μόνιμης τιμής (Single Stuck At Fault) Πολλαπλό σφάλμα μόνιμης τιμής (Multiple Stuck At Fault) Σφάλμα Γεφύρωσης (βραχυκύκλωμα Briedging Fault) Σφάλμα μόνιμα μη-αγώγιμου τρανζίστορ Περιγραφή Ένας κόμβος λαμβάνει συνεχώς ως τιμή είτε το «0» είτε το «1» Δύο ή περισσότεροι κόμβοι έχουν μία σταθερή τιμή («0» ή «1»), όχι απαραίτητα την ίδια Δύο ή περισσότεροι κόμβοι ή γραμμές που θα έπρεπε να είναι ανεξάρτητοι μεταξύ τους, συνδέονται Ένα τρανζίστορ δεν άγει ποτέ 10

22 Σφάλμα μόνιμα αγώγιμου τρανζίστορ Ένα τρανζίστορ άγει μόνιμα Σφάλμα καθυστέρησης διάδοσης Καθυστέρηση διάδοσης του σήματος σε ένα ή περισσότερα μονοπάτια του κυκλώματος Μεταβατικά σφάλματα Λάθος αποκρίσεις από εξωγενείς παράγοντες όπως θόρυβος, διακύμανση στην τροφοδοσία, ακτινοβολία Διαλείποντα σφάλματα Δημιουργούνται από εσωτερική φθορά του κυκλώματος και προκαλούν λάθος αποκρίσεις σε κάποιες καταστάσεις του κυκλώματος. Η φθορά είναι προοδευτική μέχρι την μόνιμη βλάβη και καταστροφή του κυκλώματος Πίνακας 1 Μοντέλα Σφαλμάτων Μοντέλα Σφαλμάτων σε Επίπεδο Τρανζίστορ Αυτό το είδος μοντέλου ανίχνευσης σφαλμάτων είναι ίσως το κυριότερο, που χρησιμοποιείται και πρέπει να περιλαμβάνεται κατά την διαδικασία ελέγχου ενός ολοκληρωμένου κυκλώματος. Εδικά τα τελευταία χρόνια, όπου το εύρος των σχεδιαζόμενων και υλοποιούμενων κυκλωμάτων έχει αυξηθεί αρκετά, λόγω της χρήσης μεγάλου αριθμού τρανζίστορ, συνεχώς μειούμενου μεγέθους βέβαια, έχει αυξήσει κατά πολύ τον αριθμό των πιθανών σφαλμάτων, που μπορούν να δημιουργηθούν, οπότε και τους πιθανούς συνδυασμούς μεταξύ αυτών, που θα πρέπει να διερευνηθούν. Ωστόσο, η χρησιμότητά των αποτελεσμάτων τους οδηγεί στην αναγκαία χρήση τους, μιας και μπορούν να ανιχνεύσουν σφάλματα, τα οποία οφείλονται σε κατασκευαστικές αστοχίες. Ένα παράδειγμα είναι τα μοντέλα μόνιμα αγώγιμου ή μόνιμα μη-αγώγιμου τρανζίστορ, που χρησιμοποιούνται ευρύτατα τα τελευταία χρόνια Μοντέλα Σφαλμάτων σε Επίπεδο Πυλών Το μοντέλο αυτό χρησιμοποιείται ιδιαίτερα στα ψηφιακά κυκλώματα, όταν δηλαδή ο σχεδιαστής εργάζεται σε επίπεδο λογικών πυλών και όχι σε επίπεδο τρανζίστορ. Το πρώτο μοντέλο που χρησιμοποιήθηκε ήταν το μοντέλο σφάλματος μόνιμης κατάστασης (stack at model), το οποίο είναι και αυτό που ανιχνεύει και τα περισσότερα σφάλματα ενός ολοκληρωμένους κυκλώματος. 11

23 Η ευκολία και η αποτελεσματικότητα του μοντέλου αυτού οφείλεται στην ευελιξία του και στο γεγονός ότι μπορεί εύκολα να δημιουργηθεί ένα διάνυσμα ελέγχου, με την χρήση απλών τεχνικών ηλεκτρονικής ή και άλγεβρας Boole, ώστε να βρούμε το σωστό διάνυσμα και να το συγκρίνουμε με το παραγόμενο από το κύκλωμα υπό εξέταση κάθε φορά που γίνεται ένας έλεγχος. Ωστόσο, το μοντέλο αυτό έχει κάποιους περιορισμούς ως προς τον ορισμό του. Αρχικά θεωρούμε πως το σφάλμα μόνιμης κατάστασης βρίσκεται μόνο σε μία γραμμή του κυκλώματος, που μπορεί να είναι είτε η έξοδός του είτε η είσοδός του, και ποτέ στο εσωτερικό του. Επίσης, θα πρέπει η γραμμή αυτή να έχει μόνιμα μία εκ των τιμώ «0» ή «1». Κατά τον έλεγχο μεγάλης κλίμακας κυκλωμάτων καταλαβαίνουμε ότι η ανίχνευση σφαλμάτων καθίσταται αρκετά πολύπλοκη, μιας και υπάρχουν πολλές γραμμές, που πιθανόν να περιέχουν κάποιο σφάλμα, δημιουργώντας έτσι και πιο πολύπλοκα διανύσματα ελέγχου Σφάλματα Γεφύρωσης Τα σφάλματα αυτά προκύπτουν όταν δύο ή και περισσότεροι κόμβοι του κυκλώματος από κατασκευαστικό λάθος είναι βραχυκυκλωμένοι. Τα συνηθέστερα σφάλματα γεφύρωσης είναι πολλαπλά, δηλαδή αναφέρονται σε βραχυκύκλωμα περισσότερων των 2 γραμμών ή κόμβων. Ο συνδυασμός του μοντέλου σταθερής μόνιμης κατάστασης και των μοντέλων σφαλμάτων γεφύρωσης οδηγεί σε ένα μοντέλο, που χρησιμεύει αρκετά για την ανίχνευση των βραχυκυκλωμάτων. Στην περίπτωση αυτή η σωστή κατάσταση λειτουργίας βρίσκεται είτε με την χρήση της λογικής πράξης OR ή AND, μεταξύ των βραχυκυκλωμένων κόμβων του κυκλώματος Σφάλματα Καθυστέρησης Τα σφάλματα αυτά ερμηνεύουν καθυστερήσεις στην διάδοση ενός σήματος μέσω ενός μονοπατιού, κυρίως μιλώντας σε επίπεδο λογικών πυλών. Έτσι η χρήση του στοχεύει σε ψηφιακά κυκλώματα, όπου μία έξοδος, για παράδειγμα, μπορεί να φθάσει σε λάθος χρόνο στον ακροδέκτη εξόδου, γεγονός που θα καταδεικνύει την ύπαρξη κάποιου 12

24 σφάλματος. Θεωρείται ένα από τα πιο αποτελεσματικά μοντέλα στον τομέα την ανίχνευσης σφαλμάτων σε ψηφιακά κυκλώματα. 2.4 Διάγνωση Σφαλμάτων Αναλογικών Κυκλωμάτων Τα παραπάνω στοιχεία αναφέρονται ως επί το πλείστον σε ψηφιακό έλεγχο ολοκληρωμένων κυκλωμάτων. Στα αναλογικά κυκλώματα, δεν υπάρχουν σαφείς και ξεκάθαρες ενδείξεις ύπαρξης σφάλματος (όπως το σφάλμα stack-at), οπότε θα πρέπει να ανιχνευθούν τρόποι και τεχνικές ανίχνευσης σφαλμάτων μέσω έλεγχου χαρακτηριστικών του κυκλώματος η βάσει της εξόδου ορισμένων ακροδεκτών του. Γενικά σε όσες εφαρμογές έχουν ελεγχθεί κατά καιρούς, έχει εξαχθεί το συμπέρασμα ότι κανένα κύκλωμα δεν μπορεί να εξετασθεί ως γραμμικό, εισάγοντας μια επιπλέον δυσκολία τόσο στην ανίχνευση όσοι και στην διόρθωση σφαλμάτων. Επιπλέον, στα αναλογικά κυκλώματα, δεν μπορούμε να εντοπίσουμε με απόλυτη ακρίβεια το σημείο στο οποίο υπάρχει μια δυσλειτουργία η ένα σφάλμα, όπως επίσης και τον λόγο δημιουργίας τους, κάτι που θα γινόταν πολύ εύκολα σε ένα ψηφιακό κύκλωμα. Λίγο πιο αναλυτικά, τα σφάλματα, λοιπόν, που μπορούν να προκύψουν και να ανιχνευθούν με αναλογικά κυκλώματα έλεγχου, συνοψίζονται παρακάτω: Σφάλμα ανοιχτού κυκλώματος Λειτουργικά σφάλματα Ελλιπή / επιπλέον κυκλωματικά στοιχεία Διακύμανση παραμέτρων Απόκλιση των επιδόσεων Σφάλματα που αφορούν την δομή του κυκλώματος Ο αναλογικός έλεγχος κυκλωμάτων εν γένει υφίσταται σαν έννοια και σαν τομέας της επιστήμης εδώ και αρκετά χρονιά, αλλά συνεχίζει να εξελίσσεται τόσο σε τεχνικές, μεθόδους άλλα και σε μέσα. Για παράδειγμα, χρησιμοποιούνται φασματογράφοι, παλμογράφοι, αναλυτές αντιστάσεως, δικτύου, χρονικοί αναλυτές και αναλυτές επικοινωνιών είναι μερικά από τα μέσα αυτά. Σε μερικές περιπτώσεις χρησιμοποιούν και οπτικές η και μικροκυματικές τεχνικές ανίχνευσης σφαλμάτων. 13

25 Θα ήταν χρήσιμο να αναφερθούμε και στους μηχανισμούς ατελειών, που έχουν ως στόχο τα αναλογικά κυκλώματα έλεγχου και εμφανίζονται παρακάτω. Η πρώτη ομάδα αναφέρεται στις ατέλειες των υλικών, που μπορεί να προκύψουν: Ρωγμές στην δομή Μη προσδοκώμενη «Μετανάστευση» ιόντων Ατέλειες κρυστάλλου Ακάθαρτη επιφάνεια Η δεύτερη ομάδα αναφέρεται στα λειτουργικά σφάλματα, που είναι τα παρακάτω: Πάχος οξειδίου Βάθος διάχυσης Αντίσταση φύλλου μέταλλου Ηλεκτρικές σταθερές Ελλιπείς επαφές Σκόνη Τέλος, θα ήταν σκόπιμο να αναφερθούμε και σε δυο ακόμη ομάδες σφαλμάτων, που είναι λιγότερο σημαντικά και αποτελούν τις αστοχίες, που εξαρτώνται από τον χρόνο και αναφέρονται σε κατάρρευση διηλεκτρικών και στην μετανάστευση ηλεκτρονίων και ακόμη μια ομάδα, που είναι οι αστοχίες κατά την συσκευασία του ολοκληρωμένου κυκλώματος. Η συσκευασία του κυκλώματος, μπορεί να φαίνεται αρκετά απλή και ανώδυνη διαδικασία, όμως αποτελεί το τελευταίο τμήμα της παραγωγής άλλα και ταυτόχρονα του έλεγχου του ολοκληρωμένου κυκλώματος, πριν κυκλοφορήσει στην αγορά. Ακόμη κι αν το κύκλωμα δουλεύει άψογα μια λανθασμένη σύνδεση στους ακροδέκτες του με την συσκευασία, η κατά την σφράγιση του, θα το καταστήσει άχρηστο στον πελάτη. Όπως ακριβώς τα ψηφιακά κύκλωματα χρησιμοποιούν κάποια συγκεκριμένα μοντέλα για να χαρτογραφήσουν την διαδικασία έλεγχου ενός ολοκληρωμένου κυκλώματος, έτσι και στα αναλογικά κύκλωματα, πρέπει να εξετάσουμε εξ αρχής τις διαδικασίες που μπορούν να ακολουθηθούν για να ανιχνευθεί ένα σφάλμα τόσο στην ύπαρξη του όσοι και στο σημείο και τον λόγο δημιουργίας του. 14

26 Στο παρακάτω σχήμα φαίνονται τα τρία επίπεδα έλεγχου που θα έπρεπε να ακολουθηθούν ώστε να φθάσουμε στο επιθυμητό αποτέλεσμα. Η ακολουθία τους είναι τόσο χρονική άλλα και βάσει σημαντικότητας τους στην διαδικασία έλεγχου του ολοκληρωμένου κυκλώματος. Functional Level Circuit Level Layout Level Εικόνα 3 Επίπεδα Αναλογικού Έλεγχου Ολοκληρωμένων Κυκλωμάτων Γενικά στον αναλογικό έλεγχο ολοκληρωμένων κυκλωμάτων, χρησιμοποιούμε σαν θεωρητικό υπόβαθρο όλα τα παραπάνω στοιχεία, δηλαδή γνωρίζουμε αρκετές από τις πιθανές αίτιες βλαβών και προσπαθούμε άπλα να ανιχνεύσουμε την ύπαρξη σφάλματος, τον λόγο που την δημιούργησε και το σημείο στο οποίο δημιουργήθηκε, ώστε αφενός να αποφευχθεί η χρησιμοποίηση στοιχείων με σφάλματα και αφετέρου για να βελτιώσουμε τις υπάρχουσες τεχνικές ανίχνευσης σφαλμάτων. Για τον λόγο αυτό και έχουν δημιουργηθεί διάφορα είδη προσομοιώσεων, τα οποία προσπαθούν να εκμεταλλευτούν τα μοντέλα, που περιγράφηκαν παραπάνω. Για παράδειγμα υπάρχουν προσομοιώσεις, οι οποίες «δημιουργούν» ίχνη σκόνης πάνω σε γουρλομάτικα στοιχεία, ώστε να ελεγχθεί η συμπεριφορά του κυκλώματος σε εκείνη την φάση Προσέγγιση Αναλογικού Έλεγχου Επίσης, αξίζει να σημειωθεί ότι υπάρχουν δυο διαφορετικές άλλα συνάμα αρκετά παράλληλες προσεγγίσεις: Έλεγχος με Προσέγγιση Προδιαγραφών Έλεγχος με Προσέγγιση Κυματογράφων 15

27 Εικόνα 4 Είδη Προσεγγίσεων Αναλογικού Έλεγχου Κυκλωμάτων Οι δυο αυτές έννοιες δεν είναι ιδιαίτερα ξεκάθαρες, ειδικά όταν πρόκειται για δυο διαφορετικές τεχνικές προσέγγισης της ανίχνευσης σφαλμάτων σε αναλογικό επίπεδο. Ξεκινώντας την ανάλυση, στην πρώτη προσέγγιση, θεωρούμε ότι ένα ολοκληρωμένο κύκλωμα (συνήθως ένα ολόκληρο εξάρτημα) λειτουργεί σωστά, όταν καλύπτονται οι προδιαγραφές, που έχουν τεθεί για την λειτουργία του κατά την σχεδίαση του. Για παράδειγμα, αν έχει σχεδιαστεί και υλοποιηθεί ένας τελεστικός ενισχυτής, τότε θεωρούμε ότι το ολοκληρωμένο κύκλωμα, που τον περιλαμβάνει δεν έχει σφάλματα, λάθη και ατέλειες, όταν πληρούνται όλες οι προδιαγραφές, όπως το κέρδος, ο θόρυβος, που εισάγει, θερμοκρασιακές παράμετροι, ρεύμα πόλωσης εισόδου, κ.λ.π. Τα παραπάνω αναφέρονται σε DC χαρακτηριστικά του ενισχυτή. Ομοίως για AC χαρακτηριστικά θα μπορούσαν να ελεγχθούν και ο χρόνος αποκατάστασης, το εύρος συχνοτήτων λειτουργίας, αρμονική παραμόρφωση και Slew Rate. Η προσέγγιση αυτή χαρακτηρίζεται ως αρκετά απολυτή και προφανώς εξαιρετικά ανελαστική για περαιτέρω έλεγχο του κυκλώματος. Εφόσον μια προδιαγραφή δεν πληρείτε, το εξάρτημα θεωρείται άχρηστο και η ανίχνευση σφαλμάτων σταμάτα σε εκείνο το σημείο και το ολοκληρωμένο κύκλωμα αχρηστεύεται και δεν συνεχίζει την πορεία προς την αγορά. Αντίθετα, ο δεύτερος τρόπος προσέγγισης θεωρείται πολύ πιο ελαστικός χωρίς να θέτει αυστηρά όρια στα σφάλματα και στις ατέλειες που μπορεί να εμφανιστούν στο κύκλωμα. Η γενική ιδέα είναι ότι πρέπει σε συγκεκριμένους κόμβους, κλάδους και ακροδέκτες του υπό εξέταση κυκλώματος να ελεγχθεί η λειτουργία του με απεικόνιση 16

28 κυματοχαρής, ώστε να ελεγχθεί κατά ποσόν υπάρχουν απώλειες, παραμορφώσεις, σφάλματα η και ολική καταστροφή του ολοκληρωμένου κυκλώματος. Παρακάτω παρουσιάζεται σχηματικά το είδος και το σημείο έλεγχου της κυματοχαρής, που έχει εξαχθεί από κάποιον ακροδέκτη του υπό εξέταση κυκλώματος: Εικόνα 5 Ενδεικτικό Σχηματικό Ανάλυσης Κυματοχαρής Πίνακας 2 Σημεία Ανάλυσης κυματοχαρής Τέλος, αξίζει να γίνει μια σύγκριση των δυο προσεγγίσεων ως προς τα χαρακτηριστικά και τα αποτελέσματα τους. Τα αποτελέσματα παρουσιάζονται στον παρακάτω πίνακα: Waveform Oriented Test Specification Oriented Test Χρόνος εκτέλεσης Απαιτούνται λίγες επαναλήψεις έλεγχων και ελάχιστος χρόνος Απαιτούνται πολλές εκτελέσεις έλεγχων και αρκετός χρόνος Απαιτούμενος Εξοπλισμός Δεν χρειάζεται απαραίτητα ακριβής και μεγάλου κόστους εξοπλισμός. Χρειάζεται υψηλής ακρίβειας και αξιοπιστίας εξοπλισμός Προδιαγραφές Δεν επιτυγχάνονται πάντοτε Επιτυγχάνονται σε κάθε περίπτωση Αποτέλεσμα Χαμηλό κόστος Χαμηλό επίπεδο ατελειών Πίνακας 3 Συγκριτικός Πίνακας Προσεγγίσεων Αναλογικού Έλεγχου Η δεύτερη προσέγγιση, δηλαδή μέσω κυματομορφών, που θα μας απασχολήσει και στην παρούσα διπλωματική εργασία, έχει δυο πιθανούς στόχους. Άλλοτε απλά να επαληθεύσει η όχι τα θεωρητικά υπολογιζόμενα αποτελέσματα και άλλοτε την ερευνητική 17

29 προσπάθεια για βελτίωση ενός κυκλώματος η δημιουργίας ενός νέου με βάση κάποιες αρχές σχεδίασης με στόχο την προσομοίωση του για τον έλεγχο των πληρωμένων προϋποθέσεων που τέθηκαν εξ αρχής. Για τις DC παραμέτρους ως επί το πλείστον δεν χρειάζονται ιδιαίτερες τροποποιήσεις στο κύκλωμα ούτε και επιπλέον εξωτερικά η εσωτερικά επιπλέον στοιχεία για να γίνει ο έλεγχος. Αντίθετα για τον έλεγχο των AC παραμέτρων πολλές φορές απαιτούνται φίλτρα, ψηφιοποιητές και άλλοι DSP, που πολλές φορές θέλουμε να απομονώσουμε τον θόρυβο η κάποια παραμόρφωση και να επικεντρωθούμε σε άλλο στόχο έλεγχου. Διαγράμματα Bode, ανάλυση και διαγράμματα Fourier επίσης θεωρούνται τα πιο χρήσιμα εργαλεία αυτής της έρευνας, μιας και χρησιμοποιούνται κατά κόρον Διαφορετικές Μέθοδοι Αναλογικού Έλεγχου Έκτος από αυτές τις προσεγγίσεις, μπορούν να υπάρξουν και πιο θεωρητικές προσπάθειες για ανίχνευση βλαβών και σφαλμάτων σε ένα ολοκληρωμένο κύκλωμα. Αυτές επικεντρώνονται συνήθως σε πιο μαθηματικές και υπολογιστικές μεθόδους, που συνήθως στηρίζονται σε πιθανότητα μοντέλα. Γενικά θεωρούνται αρκετά αξιόπιστες σε μεγάλο βαθμό, όταν πρόκειται για μικρής κλίμακας εφαρμογές και σε ολοκληρωμένα κύκλωματα, που δεν διαθέτουν πολλούς κόμβους, οπού η πολυπλοκότητα αυξάνεται κατακόρυφα και τα μοντέλα δεν μπορούν να είναι το ίδιο αποδοτικά. Ένα παράδειγμα μιας στατιστικής μεθόδου έλεγχου σε αναλογικά ολοκληρωμένα κύκλωματα παρουσιάζεται σχηματικά παρακάτω: Εικόνα 6 Διάγραμμα Στατιστικής Ανάλυσης Έλεγχου Κυκλωμάτων 18

30 Ωστόσο, σε συνδυασμό με την χρήση προσομοιώσεων, μπορούν να καταστούν πολύ χρήσιμα εργαλεία σε μια βάσιμη εκτίμηση των σφαλμάτων ενός ολοκληρωμένου κυκλώματος. Μια τέτοια προσομοίωση είναι η Monte Carlo Simulation η παρόμοιες, που στηρίζονται επί της ουσίας σε αυτήν. Με τον τρόπο αυτό μπορούμε να ελέγξουμε τα όρια του κυκλώματος, όχι απαραίτητα στην απόκριση του βέβαια, άλλα περισσότερο στην συμπεριφορά και την ανταπόκριση σε ορισμένες μεταβολές παραμέτρων στοιχείων, κάτι που είναι και το βασικό αντικείμενο του VLSI testing Δοκιμή Αναλογικών Κυκλωμάτων με τεχνικές ενσωματωμένης αυτοεξέτασης Γενικά ο αναλογικός έλεγχος ολοκληρωμένων κυκλωμάτων μπορεί να ακολουθήσει διαφορετικές πορείες ως προς το είδος έλεγχου, που θα χρησιμοποιηθεί στην βασική διαδικασία έλεγχου. Όπως φαίνεται και στο παρακάτω σχήμα, μπορούν να υπάρξουν πολλά διαφορετικά block, που θα χρησιμοποιηθούν για ανίχνευση σφαλμάτων, τα βασικότερα των οποίων είναι τα SBT, SAT και BICS. Εικόνα 7 Διαχωρισμός Μεθόδων Αναλογικού Έλεγχου Ενδεικτικά, η μεθοδολογία SAT (Simulation After Test) είναι η καθ αυτού μέθοδος ανίχνευσης σφαλμάτων, που είναι ιδιαίτερα αποτελεσματική σε Soft Faults, αφού ερευνά περισσότερο τις παραμέτρους στοιχείων του κυκλώματος. Το μειονέκτημα αυτής της μεθόδου είναι ότι απαιτεί αρκετό χρόνο και έχει υψηλό κόστος, λόγω των πολλών σημείων εξέτασης του κυκλώματος, γεγονός που απαιτεί και ιδιαίτερα κοστοβόρο και αξιόπιστης τεχνολογίας εξοπλισμό. 19

31 Ακολούθως, η δεύτερη μεθοδολογία ονομάζεται SBT (Simulation Before Test) και θα μπορούσε να χαρακτηριστεί ως η αρχική και πιο βασική μέθοδος, που χρησιμοποιήθηκε από τα πρώτα βήματα του έλεγχου σε ολοκληρωμένα κυκλώματα. Σύμφωνα με αυτήν, λοιπόν, μετά την ολοκλήρωση της σχεδίασης και κατασκευής ενός ολοκληρωμένου κυκλώματος, οι παράμετροι, που αναφέρονται τόσο σε στοιχεία όσοι και στην συνολική απόκριση του ολοκληρωμένου κυκλώματος καταχωρούνται σε ένα σύστημα και στην συνέχεια ξεκινάει η προσομοίωση του κυκλώματος. Πιθανές αποκλίσεις έκτος των ορίων ανοχής, με βάση τις τιμές, που ήδη έχουν αποθηκευθεί, οδηγούν στην άμεση εξαγωγή συμπεράσματος περί ύπαρξης σφάλματος στο κύκλωμα υπό εξέταση. Τέλος, η μέθοδος BICS (Built In Current Sensors), που είναι και αυτή που μας ενδιαφέρει άμεσα, μιας και θα χρησιμοποιηθεί ως ένα βαθμό στην διπλωματική εργασία αυτή, είναι η πιο προηγμένη, περισσότερο πολύπλοκη, άλλα πιο ευέλικτη και ικανή να οδηγήσει σε πολύ καλύτερα και πληρέστερα αποτελέσματα για την λειτουργία και την απόκριση ενός ολοκληρωμένου κυκλώματος. Μια τυπική συνδεσμολογία τέτοιου κυκλώματος παρουσιάζεται στο επόμενο σχήμα: Εικόνα 8 Τυπική Συνδεσμολογία κυκλώματος BICS Η μέθοδος αυτή εντάσσεται σε μια μεγάλη οικογένεια κυκλωμάτων ενσωματωμένης αυτοεξέτασης (Built In Self-Testing Circuits), που όπως φαίνεται και από την ονομασία τους εντάσσονται στο ολοκληρωμένο κύκλωμα και έχουν ως στόχο την ανίχνευση σφαλμάτων κατά τη λειτουργία συνήθως του ολοκληρωμένου κυκλώματος, χωρίς να παρεμβάλλονται ιδιαίτερα, άπλα αξιοποιώντας ως feedback εξόδους του κυκλώματος που είναι υπό εξέταση. 20

32 Κεφάλαιο 3 Γενικά Στοιχεία Ενισχυτών Χαμηλού Θορύβου 3.1 Εισαγωγικά Στοιχεία Ενισχυτών Χαμηλού Θορύβου Οι ενισχυτές χαμηλού θορύβου (Low Noise Amplifiers) είναι ένα βασικό δομικό στοιχείο των τηλεπικοινωνιακών διατάξεων, όπως τα Bluetooth, το GPS, κ.λ.π. Ο ρόλος τους σε μία τηλεπικοινωνιακή διάταξη είναι η προενίσχυση των ασθενών RF σημάτων κατά τη λήψη τους, έτσι ώστε να οδηγήσουν στον κυρίως ενισχυτή ένα σήμα καθαρό από θόρυβο με μεγαλύτερη ισχύ και πλάτος, έτσι ώστε να είναι δυνατή η περαιτέρω επεξεργασία και αποκωδικοποίηση του σήματος αυτού. Εικόνα 9 Χαρακτηριστική τηλεπικοινωνιακή διάταξη 21

33 Πιο συγκεκριμένα, κατά την σχεδίαση ενός LNA, οι κύριοι στόχοι είναι: Υψηλό κέρδος τάσης ή κέρδος ισχύος: Τα σήματα που λαμβάνονται από κεραίες είναι πολύ ασθενή της τάξεως των μv και θα πρέπει να φθάσουν σε επίπεδο τουλάχιστον mv, ώστε να μπορέσουν να ενισχυθούν στην συνέχεια από τους κυρίως ενισχυτές σε επίπεδα που επιτρέπεται η επεξεργασία τους. Χαμηλό συντελεστή θορύβου: Λόγω του μεγάλου κέρδους, που πρέπει να έχει ο ενισχυτής, πρέπει ο θόρυβος να είναι όσο το δυνατόν σε χαμηλότερα επίπεδα έτσι ώστε να μη γίνεται αντίστοιχη ενίσχυσή του, πράγμα που θα έκανε αδύνατη την ανάκτηση του σήματος. Εικόνα 10 Παράγοντας Θορύβου στην είσοδο και στην έξοδο Ο ορισμός του Signal-To-Noise-Ratio, καταδεικνύει τον λόγο θορύβου προς το σημα, ειτε στην είσοδο ειτε στην έξοδο μίας τηλεπικοινωνιακής διάταξης. Η παρακάτω εξίσωση περιγράφει τον υπολογισμό του. SNR in 2 us P 4R P k T f av, s s (1), όπου k είναι η σταθερά του Bolzmann, Τ η av, n περίοδος και Δf το εύρος ζώνης του σήματος. 22

34 Τέλος ο παράγοντας θορύβου ορίζεται ως: SNR F SNR in out P av, s P G P av, n av, s G P G P av, n n. eq (2) Στόχος είναι να έχουμε όσο το δυνατόν μικρότερο SNR στην έξοδο. Ένας δείκτης ποιότητας του ενισχυτή είναι ο παράγοντας θορύβου NF, που είναι ο λογάριθμος του λόγου SNR της εισόδου προς το SNR εξόδου. NF 10log( F) (3) Χαμηλή κατανάλωση ισχύος και χαμηλή τάση τροφοδοσίας: Όσο βελτιώνεται η τεχνολογία, μειώνεται συνεχώς το μέγεθος των συσκευών και των κυκλωμάτων, πράγμα, που σημαίνει ότι δεν υπάρχει μεγάλη αντοχή σε υψηλές τάσεις, οπότε οι LNA πρέπει να μπορούν να δουλέψουν σε χαμηλές τάσεις τροφοδοσίας. Υψηλό εύρος ζώνης: Καλό θα είναι οι LNA να δουλεύουν σε όσο το δυνατόν μεγαλύτερο εύρος ζώνης γίνεται, έτσι ώστε τα ίδια κυκλώματα να μπορούν να χρησιμοποιηθούν σε διαφορετικές εφαρμογές. Μεγάλη Γραμμικότητα: Είναι η τιμή της ισχύος εισόδου για την οποίο η τρίτη αρμονική στη έξοδο έχει το ίδιο πλάτος με την πρώτη. Αν η τιμή α 1 είναι πολύ μεγαλύτερη συγκριτικά με την α 3 και η α 2 είναι αμελητέα τότε η τιμή του IIP3 θα είναι μεγάλη και στην έξοδο θα έχουμε μια γραμμική έκδοση του σήματος εισόδου για μεγάλο εύρος πλάτους εισόδου. Ο υπολογισμός την γραμμικότητας γίνεται με τον ακόλουθο τύπο: IIP3 4 a 3 a 1 (4) 3 23

35 Ή φαίνεται καλύτερα γραφικά μέσω ενός διαγράμματος, όπως παρακάτω. Όσο πιο δεξιά είναι το IIP3, σημαίνει ότι ο ενισχυτής μπορεί να χρησιμοποιηθεί σε μεγαλύτερο εύρος ζώνης, λειτουργώντας γραμμικά, χαρακτηριστικό, που απαιτείται σε πολλές εφαρμογές. Διάγραμμα 1 Μέθοδος Υπολογισμού IIP3 Παρ όλ αυτά, δεν είναι δυνατόν να εκπληρωθούν όλοι οι στόχοι στον μέγιστο βαθμό, αλλά επιδιώκουμε να βρούμε μία χρυσή τομή, ανάλογα και με την εφαρμογή στην οποία θα χρησιμοποιηθεί ο ενισχυτής χαμηλού θορύβου. 3.2 Πηγές θορύβου Θερμικός θόρυβος αντιστάτη Η πιο γνωστή πηγή θορύβου και η πιο συνηθισμένη είναι ο θερμικός θόρυβος, που δημιουργεί ένας αντιστάτης. Ο θόρυβος αυτός είναι λευκός και επίσης ονομάζεται και Gaussian, λόγω του γεγονότος ότι το πλάτος του σήματος θορύβου ακολουθεί κατανομή Gauss. Η διαθέσιμη ισχύς του, που είναι ίδια για κάθε τιμή αντίστασης, είναι: Pav, n kt f (5),όπου k είναι η σταθερά Boltzmann (1.38 *10-23 J/K), Τ η απόλυτη θερμοκρασία σε βαθμούς Kelvin και Δf το εύρος του θορύβου σε Hz. Η παραπάνω έκφραση συνήθως μετατρέπεται σε μία πηγή τάσης θορύβου εν σειρά ή μία πηγή ρεύματος θορύβου εν παραλλήλω. Οι αντίστοιχες εκφράσεις τους είναι: 24

36 unr 2 4kTR f (6) και i kt f (7) R 2 4 nr Παρατηρούμε ότι οι πηγές αυτές εξαρτώνται από την τιμή της αντίστασης, επομένως η επιλογή του αντιστάτη παίζει μεγάλο ρόλο στην συμπεριφορά του κυκλώματος, όσον αφορά τον θόρυβο Θερμικός θόρυβος σε MOS τρανζίστορ Θόρυβος ΜΟS καναλιού Όπως είναι λογικό τα MOS τρανζίστορ, τα οποία λειτουργούν στην γραμμική περιοχή αποτελούν πηγές θερμικού θορύβου, αφού τα αποτελούν αντιστάτες στην συγκεκριμένη περιοχή. Το ρεύμα θορύβου της εκροής υπολογίζεται από την εξίσωση: i 4kT g f (8) 2 nd d Επαγόμενος θόρυβος πύλης Εφόσον η πύλη είναι χωρητικά συζευγμένη με το κανάλι, ο θόρυβος εκροής οδηγεί επίσης σε θόρυβο πύλης. i 4kT g f (9) 2 ng g, NQS Ροζ Θόρυβος Ο θόρυβος 1/f ή ροζ θόρυβος είναι πολύ σημαντικός στους CMOS RF δέκτες. Όπως το όνομα ήδη υποδηλώνει αυτός ο τύπος θορύβου έχει κατανομή που μειώνεται όσο μεγαλώνει η συχνότητα. Ωστόσο, όπως μπορεί εύκολα να συναχθεί το συμπέρασμα, ότι σε ενισχυτές χαμηλού θορύβου, δεν διαδραματίζει ιδιαίτερο ρόλο. Για λόγους πληρότητας δίνουμε την σχέση υπολογισμού: i 2 nf Kf WL f (10) f 25

37 3.2.4 Κρουστικός θόρυβος Ο θόρυβος αυτός δημιουργείται όταν μία ροή ρεύματος διασχίζει ένα φράγμα δυναμικού, όπου η διακριτότητα των χρόνων άφιξης των μεμονωμένων φορτίων αυξάνει το ρεύμα θορύβου. Η σχέση υπολογισμού είναι: i 2qI f (11) 2 nsh DC 3.3. Απαιτήσεις των ενισχυτών χαμηλού θορύβου Προσαρμογή Αν λάβουμε υπόψη μας ένα LNA που τροφοδοτείται διαμέσου μιας γραμμής μεταφοράς 50Ω, η οποία προέρχεται από κάποια κεραία ή από κάποιο εξωτερικό φίλτρο επιλογής συχνότητας. Αρχικά μας ενδιαφέρει η απαίτηση για προσαρμογή ισχύος. Από τη στιγμή που ένα MOS τρανζίστορ οδηγείται κυρίως από πηγή τάσης δεν απαιτείται προσαρμογή ισχύος εισόδου για υψηλή τιμή ισχύος εξόδου. Επιπλέον είναι ενδιαφέρον να έχουμε ανοιχτό κύκλωμα στην είσοδο αφού κάτι τέτοιο οδηγεί σε μεγαλύτερη τάση εισόδου και συνεπώς σε μεγαλύτερο ρεύμα εξόδου. Σε μια τέτοια περίπτωση καταλαβαίνουμε ότι δεν απαιτείται προσαρμογή ισχύος. Όταν όμως αναφερόμαστε σε μία πηγή 50 Ω, η προσαρμογή ισχύος ταυτίζεται με την προσαρμογή σύνθετης αντίστασης, ώστε να αποφευχθεί η ακτινοβολία στην γραμμή που οδηγεί τον LNA. Αυτή η αντίσταση τερματισμού απαιτείται για να εγγυηθεί την απόκριση συχνότητας του φίλτρου τόσο στη ζώνη διέλευσης, όσο και στη ζώνη αποκοπής. Στη ζώνη διέλευσης μια λανθασμένη αντίσταση τερματισμού, μπορεί να οδηγήσει σε επιπλέον εξασθένιση. Το φίλτρο αποτελεί την πρώτη βαθμίδα του ενισχυτή και η εξασθένιση του σήματος υπάρχει αλλά λόγω της ύπαρξης της σύνθετης αντίστασης των 50Ω στη έξοδο θα έχει τον ίδιο θόρυβο ισχύος με την κεραία εκπομπής. Γενικά εξασθένιση του σήματος κατά 3dB προκαλεί μείωση του SNR κατά 3dB, το οποίο αντιστοιχεί σε συντελεστή θορύβου 3dB. Οποιαδήποτε επιπλέον εξασθένιση κατά 1dB αυξάνει τον συντελεστή θορύβου κατά 1dB, γεγονός που πρέπει να αποφευχθεί. Όσον αφορά τη ζώνη αποκοπής και σε αυτήν την περίπτωση ο σωστός τερματισμός κρίνεται απαραίτητος για να μην αλλάξει η συμπεριφορά του κυκλώματος. Έτσι μια είσοδος κοντά στα 50Ω είναι πολύ σημαντική σε κάθε περίπτωση. 26

38 Στην περίπτωση προσαρμογής θορύβου σκοπός είναι να παρέχεται η ισοδύναμη σύνθετη αντίσταση εισόδου σε κάποιο κύκλωμα, με σκοπό την ελαχιστοποίηση του συντελεστή θορύβου του. Συχνά ο συντελεστής αυτός έχει σταθερή συμπεριφορά γύρω από την μέγιστη τιμή του, τότε η προσαρμογή της σύνθετης αντίστασης αποφέρει ικανοποιητικά χαμηλό συντελεστή θορύβου Συντελεστής θορύβου Πέρα από το φίλτρο επιλογής καναλιού, το LNA είναι το πρώτο μπλοκ ενός δέκτη και έτσι καθορίζει ένα κατώτερο όριο θορύβου για ολόκληρο τον δέκτη. Είναι ιδιαίτερα σημαντικό να διατηρούμε σε χαμηλά επίπεδα το όριο αυτό. Πολύ πιο ουσιώδης και λεπτομερής ανάλυσή του απαιτείται σε κυκλώματα GPS, όπου το επίπεδο του σήματος είναι αρκετά χαμηλό Κέρδος τάσης ή Κέρδος ισχύος Όπως ξέρουμε ο θόρυβος των blocks που ακολουθούν τον LNA συμπιέζεται από το κέρδος του LNA. Συνεπώς για ένα δέκτη το κέρδος πρέπει να είναι μεγάλο για να ελαχιστοποιήσει το ποσοστό θορύβου από τον down-conversion μείκτη ο οποίος αφού οδηγείται από τάση αυτό που πρέπει να βελτιστοποιηθεί είναι το κέρδος τάσης. Μόνο όταν το LNA οδηγείται από μια εξωτερική πηγή των 50Ω,το κέρδος ισχύος θεωρείται σαν βελτιστοποίηση. Σε κανονικές συνθήκες το επίπεδο εξόδου του ενισχυτή προσδιορίζεται από το υπάρχον φορτίο, δηλαδή την είσοδο του μείκτη. Για ένα γραμμικό μείκτη αυτό μπορεί να είναι είτε το χωρητικό φορτίο της πύλης του γραμμικού MOS τρανζίστορ, είτε το φορτίο αντίστασης της αγωγιμότητας μεταξύ εκροής και πηγής. Αν το RF σήμα οδηγεί την πύλη, τότε η τάση στην πύλη θα πρέπει να μεγιστοποιηθεί και το κέρδος τάσης είναι το βασικό κριτήριο. Αν ο ενισχυτής οδηγεί την πηγή του τρανζίστορ, τότε το ρεύμα που το διαρρέει θα πρέπει να μεγιστοποιηθεί. Κατά τον σχεδιασμό του ενισχυτή μπορεί να γίνει προσπάθεια να αυξηθεί το κέρδος ανεξάρτητα από το υπόλοιπα τμήματα του κυκλώματος. Κάτι τέτοιο όμως δεν είναι θεμιτό αφού αυξάνοντας το κέρδος του, αυξάνονται και τα επίπεδα σήματος στο μείκτη και μπορεί να προκληθούν προβλήματα γραμμικότητας. Η εξίσωση : 27

39 1 1 A (12) IIV 3 IIV 3 IIV 3 n 2 i 1 uk 2 2 k 1 2 tot 1 i 2 i δείχνει ότι το IIP3 αυξάνει γραμμικά με το κέρδος του ενισχυτή. Γενικά μπορούμε να πούμε ότι το κέρδος τάσης για ένα ενισχυτή χαμηλού θορύβου πρέπει να είναι τέτοιο ώστε να μεγιστοποιεί το δυναμικό εύρος ολόκληρου του δέκτη. Αν τα ακόλουθα τμήματα είναι γραμμικά αλλά με θόρυβο, τότε το κέρδος αυξάνεται και αντίστροφα. Στο παρακάτω σχήμα βλέπουμε τη επίδραση του κέρδους ενός LNA στο IMFDR (Intermodulation Free Dynamic Range) για ένα δέκτη που αποτελείται από LNA και μείκτη. Διάγραμμα 2 Παράδειγμα επίδρασης κέρδους LNA ΣΤΟ IMFDR Το IMFDR προσδιορίζεται από την παρακάτω εξίσωση: 2 2 IIMFDR[ db] IIP3[ db] ( Pav, n Pn, eq )[ db] (13) 3 3,όπου P, P, 10log( kt ) NF είναι ο συνολικά αναφερόμενος θόρυβος av n n eq ενέργειας εισόδου του μείκτη. Το IMFDR είναι το εύρος σήματος ανάμεσα στο επίπεδο όπου το πρωταρχικό σήμα αρχίζει να γίνεται ορατό και το επίπεδο όπου το 3ης τάξης ενδοδιαμόρφωσης γίνεται ορατό. Οι προδιαγραφές του LNA και του μείκτη του σχήματος φαίνονται στον επόμενο πίνακα. 28

40 Specification Receiver 1 Receiver 2 NFLNA 3 db 3 db IIP3LNA 0 dbm 0 dbm Au,LNA 15 db 30 db NFmix 15 db 15 db IIP3mix 15 dbm 15 dbm Πίνακας 4 Παράμετροι LNA και μίκτη παραδείγματος Η μόνη διαφορά ανάμεσα στους 2 δέκτες είναι το κέρδος τάσης του LNA που είναι 15 db και 30 db αντίστοιχα. Στο σχήμα ακόμα βλέπουμε ότι το δυναμικό εύρος του δέκτη μειώνεται κατά 8 db όταν αυξάνεται το κέρδος τάσης του LNA αφήνοντας τις άλλες παραμέτρους αμετάβλητες Παραμόρφωση ενδοδιαμόρφωσης Παρόμοια με το ποσοστό θορύβου του δέκτη, που έχει χαμηλότερα όρια από το ποσοστό θορύβου του ενισχυτή και το IIP3 του δέκτη έχει υψηλότερα όρια από το IIP3 του ενισχυτή. Σε πολλές εφαρμογές οι γραμμικές προδιαγραφές δεν προκαλούν δυσκολίες στον σχεδιασμό του δέκτη. Σε ορισμένες περιπτώσεις όμως, όπου έχουμε μεγαλύερες απαιτήσεις, λόγω ύπαρξης μεγάλων σημάτων στην περιοχή ζώνης συχνοτήτων. Έτσι, λοιπόν, το δυναμικό εύρος των σημάτων μειώνεται επιπλέον, όταν τα σήματα είναι σταθερά στις προδιαγραφές γραμμικότητας. Το γεγονός αυτό υπαγορεύει ότι ο ενισχυτής απαιτεί υψηλότερο δυναμικό εύρος από τα άλλα τμήματα του κυκλώματος Ανάστροφη απομόνωση Η ανάστροφη απομόνωση αντιπροσωπεύεται με την παράμετρο S 12, που είναι το αντίστροφο κέρδος του LNA. Αύξηση της ανάστροφης απομόνωσης ενός LNA μπορεί να προκύψει από 3 παράγοντες. Ο πρώτος είναι ο πιθανός διπλασιασμός του σήματος στην έξοδο του ενισχυτή του σήματος του τοπικού ταλαντωτή μέσω του μείκτη. Έτσι το σήμα μπορεί να φτάσει στην κεραία εκπομπής του, διαμέσου του ανάστροφο κέρδους του LNA. Δεύτερος παράγοντας είναι η σταθερότητα του ενισχυτή. Τέλος, ένας ακόμη παράγοντας αύξησης της ανάστροφης απομόνωσης είναι ότι αυξημένη ανσάτροφη απομόνωση οδηγεί 29

41 σε μεγαλύτερη αξιοπιστία στην προσαρμογή εισόδου. Συνήθως κάποια τιμή του που να κινείται γύρω στα 20 db με 30 db είναι αρκετή Σταθερότητα Υπάρχουν πολλοί τρόποι για την περιγραφή και τη σχεδίαση σταθερών ενισχυτών. Για RF ενισχυτές είναι ενδιαφέρον να προσδιοριστεί το νόημα της σταθερότητας χωρίς συνθήκες. Ένα κύκλωμα είναι σταθερό χωρίς συνθήκες,αν για κάθε συνδυασμό πηγής και φορτίου, είναι σταθερό. Η απλή παράμετρος μ s μπορεί να περιγράψει την χωρίς συνθήκες σταθερότητα σαν μια συνάρτηση S-παραμέτρων: s 1 S 11 S S S S * (14),όπου το Δ είναι ο πίνακας των S-παραμέτρων: S11 S22 S12 S21 (15) Γενικά το μ s αυξάνεται όταν το S 12 μειώνεται. Αύξηση της αντίστροφης απομόνωσης διευκολύνει τον σχεδιασμό για καλύτερα αποτελέσματα στην Τέλος όταν είναι S 12 = 0 η εξίσωση 14 θα γίνει: 1 s 1 η S22 1 S 22 σταθερότητα ενός LNA. Για τα ολοκληρωμένα κυκλώματα ενισχυτών CMOS η προσομοίωση και ο σχεδιασμός για σταθερότητα χωρίς συνθήκες είναι αρκετά δύσκολη, ειδικά στις υψηλές συχνότητες. 30

42 3.4 Γενικές τοπολογίες ενισχυτών χαμηλού θορύβου Ενισχυτής κοινής πηγής με επαγωγική ανάδραση Η πιο δημοφιλής τοπολογία στη σχεδίαση των ενισχυτών χαμηλού θορύβου σε υψηλές συχνότητες είναι η γνωστή ως ενισχυτές κοινής πηγής με επαγωγική ανάδραση (Inductively Degenerated Common Source LNA). Παρακάτω φαίνεται το αρχικό σχηματικό μοντέλο του ενισχυτή και δίπλα το σχηματικό στο οποίο πρέπει να μετατραπεί, έτσι ώστε να ικανοποιούνται τα βασικά κριτήρια για την ορθή σχεδίαση ενισχυτών. Εικόνα 11 Σχηματικό ενισχυή κοινής πηγής με επαγωγική αντίδραση Στη διάρκεια της σταδιακής μετατροπής του κυκλώματος αρχικά λαμβάνουμε υπόψη μας την ανάγκη για ύπαρξη θετικού κέρδους, που ικανοποιείται με τον ενισχυτή κοινής πηγής με ένα τρανζίστορ που λειτουργεί στη βασική ζώνη. Επίσης, η καθαρά χωρητική σύνθετη αντίσταση εισόδου πρέπει να αντικατασταθεί από είσοδο με ύπαρξη μίας αντίστασης. Ακόμη, χρειαζόμαστε αρκετά μεγάλη αντίσταση R L, γεγονός, που στην DC λειτουργία θα προκαλέσει μεγάλη πτώση τάσης στην αντίσταση φορτίου. Έτσι προκειμένου το κύκλωμα να λειτουργεί σωστά η τάση που έχουμε στα τρανζίστορ Μ 1 και Μ 2 πρέπει να είναι μεγαλύτερη από V, V V (16). Αντικαθιστώντας την R L με ένα PMOS DS sat GS T τρανζίστορ μπορούμε να περιορίσουμε την πτώση τάσης αν και με τον τρόπο αυτό θα 31

43 προσθέσουμε ένα επιπλέον ποσό θορύβου. Επιπλέον, όπως βλέπουμε και στα παραπάνω σχήματα, η R L έχει αντικατασταθεί από ένα πηνίο L d, ώστε ο ενισχυτής να λειτουργεί ικανοποιητικά σε ένα εύρος συχνοτήτων με κέντρο τη φέρουσα. Τέλος, αυτού του είδους οι ενισχυτές δίνουν συνήθως συντελεστή θορύβου περίπου 6 db, τιμή πολύ μεγάλη για τις περισσότερες εφαρμογές. Για την μείωση της τιμή αυτής προστίθεται στο κύκλωμα το πηνίο L S, στη θέση μιας αντίσταση τερματισμού. Με τον τρόπο αυτό καταλήγουμε σε ένα ενισχυτή που ικανοποιεί όλες τις απαιτήσεις που αναφέρθηκαν σε προηγούμενες παραγράφους και μπορούμε στη συνέχεια να υπολογίσουμε τις αναλυτικές τιμές για τις παραμέτρους του που θέλαμε να ικανοποιήσουμε. Σε επόμενο κεφάλαιο θα προσομοιώσουμε αναλυτικότερα έναν ενισχυτή που στηρίζεται στην τοπολογία αυτή Ενισχυτής Κοινής Πύλης Εικόνα 12 Σχηματικό ενισχυτή κοινής πύλης Αυτή η δεύτερη τοπολογία είναι παρόμοια με την πρώτη, που περιγράφηκε. Βλέπουμε ότι στην είσοδο του ενισχυτή έχουμε ωμική σύνθετη αντίσταση. Στην περίπτωση αυτή το σήμα οδηγείται στην πηγή του τρανζίστορ εισόδου αντί για την πύλη. Η αντίσταση εισόδου είναι ανάστροφη της διαγωγιμότητας και υπολογίζεται ότι η τιμή της θα πρέπει να είναι περίπου 50Ω για σωστή προσαρμογή εισόδου. Σε ενισχυτές κοινής πηγής, προσπαθώντας νε πετύχουμε προδιαγραφές υψηλού κέρδους και χαμηλού συντελεστή θορύβου, έχουμε ως αποτέλεσμα μείωση της απόδοσής του, ειδικά σε υψηλές συχνότητες, 32

44 ειδικά σε σχέση με τους ενισχυτές κοινής πύλης. Το αντίθετο, ωστόσο ισχύει σε χαμηλές συχνότητες. Αυτό οφείλεται στο γεγονός ότι, στην τοπολογία κοινής πηγής ο θόρυβος είναι ανάλογος του τετραγώνου της συχνότητας λειτουργίας και το κέρδος ισχύος αντιστρόφως ανάλογο του τετραγώνου της συχνότητας, πράγμα που δεν παρατηρείται για τις ενισχυτικές μονάδες με τοπολογία κοινής πύλης Ενισχυτής Διακλάδωσης Ανάδρασης Στο επόμενο σχήμα παρουσιάζεται η τοπολογία shunt-feedback. Ο ενισχυτής αποτελείται από ένα τρανζίστορ κοινής πηγής και ένα κασκωδικό τρανζίστορ στη συνέχεια για την μείωση του φαινόμενου Miller. Η αντίσταση R f προστίθεται με σκοπό να έχουμε όρο αντίστασης στην σύνθετη αντίσταση εισόδου. Η συνδεσμολογία της χωρητικότητας παράλληλα με πηνίο, έχει αντίστοιχη λειτουργία με την τοπολογία κοινής πύλης. Αγνοώντας την ανατροφοδότηση μέσω της R f μπορούμε να υπολογίσουμε την σύνθετη αντίσταση εισόδου και το κέρδος της ενισχυτικής μονάδας. Ο συντελεστής θορύβου είναι αρκετά χαμηλός, όταν η τιμή της R f είναι υψηλή. Σε γενικές γραμμές ο θόρυβος είναι αισθητά μεγαλύτερος στην τοπολογία αυτή σε σχέση με τις δύο προαναφερθείσες, ενώ η γραμμικότητά του είναι συγκρίσιμη με αυτήν της τοπολογίας κοινής πύλης. Εικόνα 13 Σχηματικό ενισχυτή shunt-feedback Ένα βασικό πλεονέκτημα αυτής της σχεδίασης είναι ότι, όπως και οι LNA κοινής πύλης, μπορούν να χρησιμοποιηθούν τόσο σε εφαρμογές βασικής ζώνης όσο και σε 33

45 ευρυζωνικά συστήματα. Σε τέτοια περίπτωση υπάρχουν κάποιες αλλαγές στη σχεδίαση, αφού κάποια στοιχεία μένουν εκτός της σχεδίασης του chip Ενισχυτής Ευρείας Ζώνης με Αντιστάθμιση Θορύβου Στο σχήμα που ακολουθεί βλέπουμε μια πολύ ενδιαφέρουσα τοπολογία ενισχυτών χαμηλού θορύβου, η οποία στηρίζεται στον ενισχυτή shunt-feedback που αναφέραμε στην προηγούμενη παράγραφο. Η έξοδος τοποθετείται στην έξοδο της προηγούμενης τοπολογίας ενίσχυσης. Η βασική ιδέα λειτουργίας του είναι ότι το σήμα εισόδου έχει διαφορά φάσης 180 από το σήμα στην έξοδο, ενώ ο θόρυβος τάσης στην έξοδο είναι σε φάση με τον αντίστοιχο στην είσοδο. Συνεπώς το συνολικό σήμα εισόδου, ανατροφοδοτείται δια μέσου μιας ενισχυτικής μονάδας με αναστροφή χωρίς θόρυβο και προστίθεται στη έξοδο, ώστε ο θόρυβος στο τρανζίστορ Μ 1 να εξαφανίζεται ενώ το σήμα να ενισχύεται. Εικόνα 14 Σχηματικό ενισχυτή Noise-Cancelling Wide-Band 34

46 3.5 Εύρος Ζώνης ενισχυτών χαμηλού θορύβου Το εύρος ζώνης (bandwidth) ενός LNA είναι σημαντικό για δύο λόγους. Πρέπει να καλύπτει ολόκληρο το εύρος σήματος και πρέπει να το κάνει αυτό λαμβάνοντας υπόψη τις παραμέτρους της τεχνολογίας κατασκευής και θερμοκρασίας λειτουργίας. Μια πιθανή απαίτηση μπορεί να είναι ότι το κέρδος σε Single Band δεν πρέπει να είναι λιγότερο από 1dB από το μέγιστο μέσα στην περιοχή λειτουργίας. Για τον υπολογισμό των 3 db εύρος ζώνης του LNA είναι ενδιαφέρον να προσδιοριστεί η συνολική παράμετρος κέρδους του: 3dBBW 0 Q LNA (17) Το εύρος ζώνης μπορεί να αυξηθεί με διαφορετική συχνότητα συντονισμού ανάμεσα στην είσοδο και την έξοδο. Παρόλα αυτά το τελικό κέρδος ισχύος είναι πιο ευαίσθητο σε κατασκευαστικές αποκλίσεις, αφού μπορούν να απομακρύνουν αυτές τις συχνότητες ακόμα περισσότερο. Για να το αποφύγουμε αυτό θα πρέπει οι ανεξάρτητοι συντελεστές ποιότητας (Q-factors) να μειωθούν και έτσι να οδηγηθούμε σε μείωση του κέρδους του κυκλώματος. Για το λόγο αυτό θα πρέπει τα δίκτυα συντονισμού σε είσοδο και έξοδο να έχουν ως κεντρική συχνότητα την ίδια. Να σημειωθεί ότι είναι: Q LNA, in 0 gs, 3 db, gs, 3 db, (18),όπου ω 0 είναι η κεντρική συχνότητα στην οποία το Q in u gs (19) είναι μέγιστο u s και τα gs, 3 db, και gs, 3 db, είναι η ανώτερη και κατώτερη συχνότητα στα -3 db του Q in. Για την έξοδο του LNA έχουμε: Q LNA, in 0 out, 3 db, out, 3 db, (20) όπου ω 0 είναι η κεντρική συχνότητα για φορτίο 50 Ω και τα out, 3 db, και out, 3 db, είναι η ανώτερη και κατώτερη συχνότητα για -3dB της τάσης εξόδου. Το QLNA,out εξαρτάται τμηματικά από το QL που δίνεται: Q L R L L (21) 0 d και είναι μικρότερο για μεγαλύτερο πηνίο και το ίδιο R L. Στην είσοδο η συμβατότητα για διαφορετικά δίκτυα προσαρμογής και ιδιαίτερα για on-chip ολοκλήρωση 35

47 είναι ιδιαίτερα περιορισμένη. Στην έξοδο, το εύρος ζώνης του LNA μπορεί να αυξηθεί, αυξάνοντας την επαγωγή στο φορτίο, για δεδομένη αντίσταση φορτίου. Η παραπάνω ενέργεια οδηγεί σε μείωση της συχνότητας αυτοσυντονισμού και πιθανώς αποτρέπει την όποια προσαρμογή μέσω χωρητικού διαιρέτη. Κάποιες φορές μια επιπλέον αντίσταση ή MOS τρανζίστορ τοποθετείται παράλληλα με τον πηνίο για να μειώσει επιπλέον το Q. Τέλος συχνά μειώνουμε το R L για να αποφύγουμε προβλήματα σταθερότητας που οφείλονται στο υψηλό κέρδος. 36

48 Κεφάλαιο 4 Γενικά στοιχεία αναλογικών κυκλωμάτων BIST 4.1 Τεχνολογία MOS Συμπληρωματικά MOS Τεχνολογία CMOS = Complementary (Συµπληρωµατικού) Metal (Ηµιαγωγού) Oxide (Μετάλλου) Semiconductor (Οξειδίου) Η απαίτηση για κυκλώµατα χαµηλής κατανάλωσης ισχύος οδήγησε στην συνεχώς αυξανόµενη χρήση της τεχνολογίας CMOS. Αποτελείται από στοιχεία n-mos και p-mos και χρησιμοποιείται ευρύτατα, λόγω των παρακάτω πλεονεκτημάτων της: Η λειτουργία ενός CMOS κυκλώµατος επηρεάζεται ελάχιστα από θόρυβο. Ελάχιστη στατική κατανάλωση ισχύος Τα κύτταρα λογικής έχουν ιδανικά δυναμική εξόδο Οι χρόνοι ανόδου/καθόδου είναι της ίδιας τάξης 37

49 Τα βασικά κύτταρα απαιτούν µικρή επιφάνεια υλοποίησης. Έτσι η πυκνότητα ολοκλήρωσης είναι πολύ µεγάλη Μετάδοση σωστή και των δύο λογικών σταθµών (0, 1) απο πύλες µετάδοσης (πολυπλέκτες, µανταλωτές, καταχωρητές) Εικόνα 15 Δομή ενός CMOS Παράδειγμα Κατασκευής Αντιστροφέα Ένα εύκολο παράδειγμα για την κατανόηση της χρήσης και της λειτουργίας των CMOS είναι ο αντιστροφέας σήματος. Για την υλοποίησή του απαιτούνται ένα τρανζίστορ PMOS και ένα NMOS,συνδεδεμένα όπως φαίνεται στο σχήμα, ενώ τα κατασκευαστικά του βήματα παρουσιάζονται παρακάτω αναλυτικά. Εικόνα 16 Σχηματικό τυπικού CMOS αντιστροφέα 38

50 Βήμα 1 ο : Κατασκευή του n-well Οξείδωση σε υψηλή θερμοκρασία Τοποθέτηση Δημιουργία φωτοευαίσθητου ενός λεπτού στρώματος υλικού οξειδίου Απόθεση του πολυπυριτίου Έκθεση Τοποθέτηση στο φως φωτοευαίσθητου των περιοχών n-well υλικού Έκθεση στο φως των περιοχών εκτός Αφαίρεση πολυπυριτίου του οξειδίου με χημικά Αφαίρεση του πολυπυριτίου και του οξειδίου με χημικά Αφαίρεση του φωτοευαίσθητου υλικού από τις περιοχές πολυπυριτίου Αφαίρεση του φωτοευαίσθητου υλικού Δημιουργία του n-well Διάχυση ή εμφύτευση ιόντων Αφαίρεση του οξειδίου με χημικά Εικόνα 17 1 ο Βήμα κατασκευής CMOS αντιστροφέα Βήμα 2 ο : Κατασκευή των αγωγών πολυπυριτίου Εικόνα 18 2 Ο Βήμα κατασκευής CMOS αντιστροφέα 39

51 Βήμα 3 ο : Κατασκευή των περιοχών N-Diffusion Δηµιουργία ενός στρώµατος οξειδίου Αφαίρεση του οξειδίου στις n-diffusion περιοχές µε χρήση photoresist Δηµιουργία των n-diffusion περιοχών µε διάχυση ή εµφύτευση ιόντων Εικόνα 19 3 ο Βήμα κατασκευής CMOS αντιστροφέα Αφαίρεση του στρώµατος οξειδίου Βήμα 4 ο : Κατασκευή των περιοχών p-diffusion Εικόνα 20 4 ο Βήμα κατασκευής CMOS αντιστροφέα Παρόμοια με την κατασκευή των n-diffusion περιοχών Βήμα 5 ο : Κατασκευή των επαφών Εικόνα 21 5 ο Βήμα κατασκευής CMOS αντιστροφέα 40

52 Βήμα 6 ο : Κατασκευή των αγωγών μετάλλου Εικόνα 23 6 ο Βήμα κατασκευής CMOS αντιστροφέα Εικόνα 22 Τελική Δομή ενός CMOS αντιστροφέα Η παραπάνω διαδικασία καταδεικνύει τα βήματα και όλα τα στάδια για την δημιουργία ενός επιπέδου μετάλλου σε έναν αντιστροφέα. Αν κατά περίπτωση, υπάρχουν περισσότερα επίπεδα, τότε η διαδικασία ακολουθείται επαναλαμβανόμενα μέχρι την κάλυψη όλων των επιπέδων που απαιτούνται για την υλοποίηση. Εκτός όλων των παραπάνω, αξίζει να σημειωθούν και μερικά επιπλέον στοιχεία για την λειτουργία ενός αντιστροφέα CMOS. Παρακάτω εμφανίζεται η χαρακτηριστική καμπύλη DC ενός αντιστροφέα: 41

53 Διάγραμμα 3 Χαρακτηριστική καμπύλη CMOS inverter Όπως φαίνεται, η λειτουργία του αντιστροφέα µπορεί να χωριστεί στις εξής 5 περιοχές: Στην περιοχή Α, όπου το nmos τρανζίστορ βρίσκεται σε αποκοπή ενώ το pmos τρανζίστορ άγει και οδηγεί την έξοδο στην τροφοδοσία VDD. Στην περιοχή Β, το nmos αρχίζει να άγει και το pmos συνεχίζει να άγει. Το nmos οδηγεί την έξοδο χαµηλά. Στην περιοχή C, και τα δύο τρανζίστορ είναι στον κορεσμό, οπότε η Vout εμφανίζει απότοµη πτώση. Αναφερόμενοι ε πραγματικά τρανζίστορ, τα οποία έχουν πεπερασµένες αντιστάσεις εξόδου λόγω της διαµόρφωσης µήκους καναλιού, οι κλίσεις στην περιοχή C έχουν πεπερασµένες κλίσεις. 42

54 Στην περιοχή D, το pmos τρανζίστορ άγει µερικώς και το nmos οδηγεί την έξοδο χαµηλότερα. Στην περιοχή Ε, το pmos είναι πλήρως σε αποκοπή, αφήνοντας το nmos να οδηγήσει την έξοδο στην γείωση. Η κατανάλωση του ρεύµατος του αντιστροφέα σ αυτήν την περιοχή είναι (στην ιδανική περίπτωση) µηδενική όταν η είσοδος βρίσκεται κάτω από την τάση κατωφλίου των γραµµών VDD ή GND. Αυτή η ιδιότητα είναι σηµαντική για λειτουργία χαµηλής κατανάλωσης ισχύος. Τέλος, μία επίσης σημαντική πτυχή του αντιστροφέα CMOS είναι το σημείο καμπής, δηλαδή το σημείο στο οποίο αρχίζει η απότομη πτώση της καμπύλης, όπως εμφανίστηκε παραπάνω, το οποίο και ονομάζεται Voltage Midpoint. Το V M σημείο αυτό λοιπόν, μπορεί να υπολογιστεί από τον τύπο: V M k p VTn ( VDD VTp ) kn k p 1 k n (22) Τεχνολογία CMOS UMC 0.18μm Όπως αναφέρθηκε στην αρχή, η τεχνολογία που θα χρησιμοποιήσουμε είναι η CMOS UMC 0.18μm, που θεωρείται μία αρκετά εύκολη και ευέλικτη τεχνολογία, σχετικά παλαιά και ξεπερασμένη βέβαια σε σχεδίαση αναλογικών κυκλωμάτων. Τα τρανζίστορ που θα χρησιμοποιήσουμε στα κυκλώματά μας θα είναι τα Ν_33_ΜΜ και P_33_ΜΜ, τα οποία λειτουργούν στα 3.3V και τα τρανζίστορ N_18_MM και P_18_MM, τα οποία λειτουργούν με τάση τροφοδοσίας 1.8V. Για τα στοιχεία αυτά, παρουσιάζονται τα χαρακτηριστικά του στον παρακάτω πίνακα. Οι παράμετροι αυτές θα χρησιμοποιηθούν σε όσους θεωρητικούς υπολογισμούς θα γίνουν κατά την σχεδίαση των κυκλωμάτων. 43

55 P_18_MM N_18_MM P_33_M N_33_MM V th V V V V t ox m m m m μ m 2 /Vsec m 2 /Vsec m 2 /Vsec m 2 /Vsec ε ox F/m F/m F/m F/m L min 0.18μm 0.34μm L max 50μm 50μm W min 0.24μm 0.24μm W max 100μm 100μm Πίνακας 5 Παράμετροι Σχεδίασης τεχνολογίας CMOS UMC 0.18 μm Επίσης, κατά τον σχεδιασμό των κυκλωμάτων, λαμβάνουμε υπ όψιν ότι τα επιπλέον στοιχεία (πυκνωτές, αντιστάσεις και πηνία), που πιθανόν να χρειαστούν δεν είναι ιδανικά στοιχεία, οπότε και αυτά θα επιλεγούν από την βιβλιοθήκη της χρησιμοποιούμενης τεχνολογιας για πιο ρεαλιστική αποτύπωση του κυκλώματος. Για τα στοιχεία αυτά βέβαια, δεν υπάρχει ιδιαίτερος λόγος να αναφερθούν κάποια χαρακτηριστικά τους προς το παρον. 4.2 Αναλογικά Κυκλώματα ενσωματωμένης αυτοεξέτασης Όταν αναφερόμαστε σε κυκλώματα BIST, εννοούμε κυκλώματα ενσωματωμένου ελέγχου σε κάποιο ήδη υπάρχον ολοκληρωμένο κύκλωμα. Το BIST ουσιαστικά έχει την ευθύνη του εν-λειτουργία του βασικού κυλώματος έλεγχο για ανίχνευση σφαλμάτων τα οποία μπορεί να οφείλονται είτε σε τυχαία γεγονότα, είτε σε δυσλειτουργίες του κυκλώματος είτε πολλές φορές βέβαια και σε ύπαρξη αυξημένου θορύβου, οπότε και στην εξαγωγή λάθος συμπεράσματος ως προς την λειτουργία του κυκλώματος. Γενικά τα κυκλώματα BIST είναι πιο αργά κυκλώματα, γεγονός που οδηγεί σε αυξημένη κατανάλωση για τον έλεγχο, όμως είναι ένας πολύ αποδοτικός και σχετικά εύκολος τρόπος ελέγχου, που δεν χρειάζεται αλλαγές, αφού πρώτα έχει σχεδιαστεί και υλοποιηθεί με βάση το κύκλωμα που θα ελέγξει. Άλλωστε, δεν είμαστε και σε θέση να επέμβουμε και να αλλάξουμε, εύκολα τουλάχιστον, το κύκλωμα αυτό. Ακόμη και με την αυξημένη κατανάλωσή τους, μπορούν σε μεγάλο βαθμό να αναγνωρίσουν κυκλωματικά 44

56 σφάλματα, βοηθώντας έτσι την αύξηση του yield μιας παραγωγής ολοκληρωμένων κυκλωμάτων και προφανώς με πολύ μικρότερο κόστος παραγωγής. Για τον λόγο αυτό, τα τελευταία χρόνια γίνονται προσπάθειες να αυξηθεί η αξιοπιστία τους και το εύρος των εφαρμογών που μπορούν να έχουν. Η στροφή αυτή βέβαια δεν έχει απαλλάξει την επιστήμη της νανοτεχνολογίας και της κατασκευής ολοκληρωμένων κυκλωμάτων από τις κλασσικές μεθόδους και τεχνικές δοκιμών και ελέγχου των παραγώμενων κυκλωμάτων από μία αλυσίδα παραγωγής ενός εργοστασίου. Στην παρούσα εργασία θα αναλυθούν μόνο τα αναλογικά κυκλώματα BIST, τα οποία και παρουσιάζουν το μεγαλύτερο ενδιαφέρον, αφού προσφέρουν πολύ μεγάλες δυνατότητες βελτίωσης και μεγαλύτερης εμβάθυνσης στον τομέα του ελέγχου ενός αναλογικού κυκλώματος Αρχές Λειτουργίας Η γενικότερη αρχή λειτουργίας ενός κυκλώματος BIST στηριζεται ουσιαστικά στην σύγκριση μίας παραμέτρου εξόδου του βασικού κυκλώματος που ελέγχεται (Circuit Under Testing). Η παράμετρος αυτή θα πρέπει να επιλεγεί με βάση την μεταβλητότητα, που μπορεί να έχει σε περίπτωση εμφάνισης ενός σφάλματος. Οι συνηθέστερες μέθοδοι αναλογικών BIST κυκλωμάτων ανιχνεύουν μεταβολές στην τάση ή στην ένταση του ρεύματος που διαρρέει κόμβους του CUT και με βάση την διαφορά της σωστής και της εσφαλμένης τιμής της παραμέτρου αυτής, εξάγουν το συμπέρασμα για την ύπαρξη ή μησφάλματος στο κύκλωμα. Όταν αναφερόμαστε στην ανίχνευση μεταβολών της τάσης σε κόμβους, χρειαζόμαστε αναλογικά κυκλώματα, που θα είναι ρυθμισμένα να έχουν ως έξοδο μία προκαθορισμένη τιμή για συγκεκριμένο εύρος τιμών εισόδου. Η τιμή εισόδου θα είναι η τάση του κόμβου του CUT, που θα έχει επιλεγεί. Το BIST στη συνέχεια αναλόγως με την αρχιτεκτονική του, θα είναι σε θέση να εξάγει τιμή, που θα καθορίζει την πιθανή ύπαρξη σφάλματος. Ομοίως, όταν χρησιμοποιείται μέθοδος, όπου γίνεται προσπάθεια να ανιχνευθεί μεταβολή σε ρεύμα κάποιου κόμβου ή κάποιας γραμμής θα πρέπει να ληφθεί υπ όψιν και ο τρόπος σύνδεσης του BIST σε σχέση με το βασικό κύκλωμα υπό έλεγχο. Τέτοιου είδους 45

57 κυκλώματα, εν γένει, δεν χρησιμοποιούνται στις περισσότερες περιπτώσεις, λόγω της δυσκολίας που διαθέτουν, όχι ως προς τη σχεδίαση, αλλά ως προς την ανίχνευση της «εσφαλμένης ένδειξης». Με βάση στοιχεία, που έχουν υπάρξει από τα ήδη υλοποιημένα κυκλώματα BIST, προκύπτει μία μεγαλύτερη ευελιξία σε χρήση αυτών, που στοχεύουν στην ανίχνευση δυσλειτουργιών του CUT, βάση μετρούμενης τάσης και επεξεργασία της. Οι τεχνικές αυτές έχουν αρκετά καλή πιθανότητα ανίχνευσης βλαβών στο βασικό κύκλωμα και φαίνεται να έχουν και αρκετά μικρότερη ευαισθησία (ποσοστιαία μεταβολή από την τιμή, που έχει σε λειτουργία χωρίς σφάλμα). Εν αντιθέσει, κυκλώματα που στοχεύουν σε αναγνώριση σφαλμάτων μέσω σύγκρισης ρευμάτων κόμβων, έχουν πολύ καλύτερη και ξεκάθαρη αντίληψη για την ύπαρξη βλάβης, ωστόσο έχουν αρκετά μεγαλύτερη ευαισθησία, δηλαδή δυσκολότερη ανίχνευση. Για την σχεδίαση ενός κυκλώματος BIST, όπως έχει γίνει αντιληπτό, πολλές φορές στηρίζονται στα χαρακτηριστικά λειτουργίας ή μη-λειτουργίας τρανζίστορ ή και στις διαφορές ρευμάτων, που διαρρέουν τα τρανζίστορ, που χρησιμοποιήθηκαν. Σημαντικό είναι να σχεδιαστεί ένα κύκλωμα ελέγχου σε κατάλληλη τάση τροφοδοσίας, ώστε να μπορεί να συμβαδίζει με τη λειτουργία του κυκλώματος ελέγχου. Στις περισσότερες εφαρμογές, η τάση τροφοδοσίας είναι κοινή, για αποφυγή και άλλων παρεμβολών Τεχνικές Σχεδιασμού Αναλογικών Κυκλωμάτων BIST Οι τεχνικές σχεδίασης ενός κυκλώματος BIST δεν είναι ιδιαίτερα πολύπλοκες, αφού ουσιαστικά αποτελούν ένα απλό αναλογικό κύκλωμα, το οποίο στοχεύει στην διαφοροποίηση της εξόδου ανάλογα με την τιμή εισόδου. Για παράδειγμα ένα απλό BIST κύκλωμα θα μπορούσε να είναι και ένας προσαρμοσμένου σημείου καμπής CMOS αντιστροφέας. Αυτό, ωστόσο, θα ήταν μία πολύ λανθασμένη επιλογή, αφού θα μπορούσε αφενός να ανιχνεύει μία μεγάλη μεταβολή τάσης εξόδου ενός κυκλώματος υπό έλεγχο, αλλά όχι κάποια ουσιαστικότερη αλλαγή στην συμπεριφορά του. Γενικά ένα κύκλωμα ενσωματωμένου ελέγχου αποτελείται από το CUT (κύκλωμα υπό έλεγχο), το BIST (κύκλωμα ελέγχου) και τον ORA (Output Response Analyzer). Τα δύο πρώτα τμήματα έχουν αναλυθεί παραπάνω. Το τελευταίο και ίσως και ουσιαστικότερο 46

58 τμήμα ενός ενσωματωμένου κυκλώματος ελέγχου είναι ο εσωτερικός αναλυτής, ο οποίος στα ψηφιακά κυκλώματα έχει σαφώς πολύ μεγαλύτερη σημασία. Σε ένα ψηφιακό κύκλωμα, όπου εκτός των άλλων, πρέπει να υπάρχει και ένα διάνυσμα ελέγχου, το οποίο ουσιαστικά αναπαριστά παραμέτρους σωστής λειτουργίας. Εκεί λοιπόν, ο ORA απλά θα πρέπει να κάνει μία σύγκριση των τιμών που μετρήθηκαν και επεξεργάσθηκαν με αυτές που έχει δεχθεί σαν είσοδο. Στα αναλογικά κυκλώματα, αντίθετα, δεν υπάρχει τέτοιο διάνυσμα. Η μόνη είσοδος είναι η παράμετρος, που έχει επιλεγεί (ρεύμα ή τάση) και πρέπει με κάποιον τρόπο να εξαχθεί συμπέρασμα για την ύπαρξη ή όχι σφάλματος στο CUT, προς τον χρήστη. Η συνηθέστερη έξοδος ενός ORA είναι σήμα σχεδόν ψηφιακής μορφής (ιδανικά). Δηλαδή, η έξοδός του θα είναι μία κυματομορφή, που ανάλογα με τον τρόπο, που έχει επεξεργασθεί τόσο στο BIST όσο και στο ORA, μπορεί να είναι σχεδόν ένα σήμα DC μορφής. Κυκλώματα ORA, που έχουν χρησιμοποιηθεί κατά καιρούς είναι τα παρακάτω: Ενισχυτές, στην πλειονότητά τους κοινής πηγής Εικόνα 24 Σχηματικό ενισχυτή κοινής πηγής 47

59 Αντιστροφείς προσαρμοσμένης εξόδου (inverters) Εικόνα 25 Σχηματικό αντιστροφέα Απομονωτές Εξόδου (output buffers) Εικόνα 26 Σχηματικό απομονωτή εξόδου 48

60 Τελεστικοί Ενισχυτές (Operational Amplifiers) Εικόνα 27 Σχηματικό Τελεστικού Ενισχυτή Στην συγκεκριμένη εργασία, θα χρησιμοποιηθούν μόνο αντιστροφείς και απομονωτές. Για τους απομονωτές υπάρχουν πολλές τεχνικές σχεδίασης, με την απλούστερη να είναι, όπως φαίνεται και στο ανάλογο σχήμα παραπάνω, η σύνδεση δύο αντιστροφέων. Ωστόσο, στην τεχνική αυτή, θα πρέπει να ληφθεί υπ όψιν η μέθοδος σχεδίασης αντιστροφέων CMOS, αφού ο πρώτος είναι ουσιαστικά εκείνος που θα καθορίζει και το σημείο όπου θα τείνει να αλλάζει η τιμή της εξόδου τόσο του αντιστροφέα όσο και το απομονωτή, προφανώς. Για τον λόγο αυτό λοιπόν, πρέπει να ακολουθηθεί συγκεκριμένη μεθοδολογία επιλογής αυτού του σημείου με την τεχνική που έχει περιγραφεί σε προηγούμενο κεφάλαιο, για τους αντιστροφείς CMOS Παραδείγματα κυκλωμάτων ενσωματωμένου ελέγχου Όπως εξηγήθηκε παραπάνω, υπάρχουν διάφορες προσεγγίσεις για την σχεδίαση ενός κατάλληλου κυκλώματος ελέγχου. Για κάθε εφαρμογή πρέπει να εξετασθούν οι δυνατότητες, που υπάρχουν αλλά και οι περιορισμοί για την ενσωμάτωση ενός τέτοιου κυκλώματος σε ένα κύκλωμα ή πολλές φορές και σε ένα ολόκληρο σύστημα. Έχουν 49

61 επικρατήσει κυκλώματα με συγκριτές τάσης ή ρεύματος, αλλά και πιο πολύπλοκα συστήματα, που δεν διαφέρουν ιδιαίτερα στην φιλοσοφία του κλασσικού ελέγχου. Μερικά παραδείγματα παρουσιάζονται παρακάτω: Κύκλωμα ελέγχου μέτρησης παραμέτρων ενισχυτή χαμηλού θορύβου Το κύκλωμα ελέγχου αυτό, όπως παρουσιάζεται σχηματικά παρακάτω στοχεύει απλά στην συσχέτιση μία ονομαστικής τιμής τάσης και της παρούσας τάσης εξόδου του σήματος του ενισχυτή χαμηλού θορύβου. Εικόνα 28 Σχηματικό κυκλώματος ελέγχου για μέτρηση παραμέτρων ενισχυτή Τα στοιχεία αυτά, είναι συνήθη, όπως έχει εξηγηθεί και σε προηγούμενα κεφάλαια για ένα κύκλωμα ελέγχου, ειδικά όταν πρόκειται για ενσωματωμένα. Η κεντρική ιδέα του αναφέρεται αρχικά στην μετατροπή του RF σήματος εξόδου του ενισχυτή χαμηλού θορύβου σε μία DC τάση, ώστε να είναι δυνατή η εύκολη μέτρησή της αλλά και η σύγκρισή της. Ωστόσο, η συγκεκριμένη προσέγγιση, στοχεύει στην μέτρηση παραμέτρων του ενισχυτή και δεν ακολουθεί αυτήν την συνήθη τακτική. Η τοπολογία του πλήρους κυκλώματος, περιλαμβάνει τον ενισχυτή χαμηλού θορύβου με την κατάλληλη είσοδό του ενός σήματος RF προς ενίσχυση, αφού έχει ληφθεί βέβαια υπ όψιν και η προσαρμογή στην αντίσταση εισόδου του. Στην συνέχεια, μέσω 3 διακοπτών είναι σε θέση να ρυθμίζεται η λειτουργία συγκεκριμένων τμημάτων του κυκλώματος, ώστε να δίνουν ως αποτέλεσμα μετρήσεις, που αφορούν τις παραμέτρους του ενισχυτή. Επίσης, ο Test Amplifier, που περιλαμβάνεται στο σύστημα είναι μία συνήθης τεχνική για καλύτερη χρήση του σήματος και ευκολότερη εξαγωγή συμπεράσματος ως προς την ύπαρξη σφάλματος ή όχι. Όσον αφορά τους Peak Detectors, απαιτούν ιδιαίτερα μεγάλες τιμές στα στοιχεία αντίστασης και χωρητικότητας, ώστε να μην υπάρχουν απώλειες 50

62 κατά την μετατροπή του σήματος σε DC τάση, γεγονός, που δυσκολεύει ως ένα βαθμό την σχεδίαση του συνολικού κυκλώματος ελέγχου. Το πλήρες κύκλωμα ενισχυτή και κυκλώματος ελέγχου παρουσιάζεται σχηματικά παρακάτω, με εμφανή τα στοιχεία, που βρίσκονται σε ολοκληρωμένη κλίμακα. Εικόνα 29 Πλήρης τοπολογία εξέτασης ενισχυτή χαμηλού θορύβου για σφάλματα Οι παρακάτω εξισώσεις αναφέρονται σε σωστή λειτουργία του κυκλώματος. Z // Z Z1 1 2 Vt Vin Vin [ Rs ( Z1/ / Z2)] 2z1 Rs (23) Z1 V V V v ( G 3) V v ( G 3) V T 2 TAout 02 t 2 02 in Z1 Rs (24) Σε οποιαδήποτε περίπτωση σφάλματος (στα όρια ανιχνευσιμότητας), γνωρίζουμε ότι θα μεταβληθεί η αντίσταση εισόδου του ενισχυτή, οπότε και του υπόλοιπου συστήματος. Με τον τρόπο αυτό, σίγουρα θα επηρεαστεί και η έξοδος του Test Amplifier, και αντίστοιχα η τάση εξόδου του Peak Detector 2, που είναι και ο βασικός ανιχνευτής του κυκλώματος ελέγχου αυτού. Η σχεδίαση αυτή κρίνεται σχετικά απλή, αλλά το εύρος των σφαλμάτων, που μπορεί να ανιχνεύσει, όπως και η ικανότητά του για ανίχνευση κρίνονται μέτρια για την κλίμακα χρήσης της. 51

63 Κύκλωμα ελέγχου επικεντρωμένο σε σφάλματα ασύρματων πομπών Αρχικά παρουσιάζεται το σχηματικό του κυκλώματος αυτού: Εικόνα 30 Σχηματικό κυκλώματος ενσωματωμένης αυτοεξέτασης για ταλαντωτή Το κύκλωμα αυτό είναι χαρακτηριστικού τύπου τόσο σε ενισχυτές χαμηλού θορύβου, όσο και σε VCO κυκλώματα ταλαντωτών, τα οποία χρησιμοποιούνται κατά κόρον σε RF συστήματα, γι αυτό και επιλέχθηκε να παρουσιαστεί. Το κεντρικό τμήμα στο επάνω μέρος είναι ο VCO, ενώ όλο το υπόλοιπο κύκλωμα είναι το τμήμα ελέγχου με την έξοδο των 2 σημάτων ελέγχου, που καταλήγουν σε μία πύλη, για εξαγωγή του τελικού αποτελέσματος περί ύπαρξης σφάλματος ή όχι στο κύκλωμα υπό έλεγχο. Η ιδέα του είναι στο διαφορετικό ρεύμα, που διέρχεται από τα sensing PMOS και NMOS. Σε κατάσταση σωστής λειτουργίας, λοιπόν, το PMOS διαρρέεται από μεγαλύτερο ρεύμα, γεγονός, που οδηγεί το push-pull τμήμα, μέσω του καθρέπτη ρεύματος να εκφορτίσει τον κόμβο S 1, όπως και τον S 2. Σε αντίθετη περίπτωση, οι κόμβοι αυτοί θα παραμείνουν στην αρχικοποιημένη τους κατάσταση, δηλαδή φορτισμένοι κοντά στην τάση VDD, ανάλογα με το σήμα, που περιέχει σφάλμα, μιας και αναφερόμαστε σε διαφορικό ζεύγος σημάτων εξόδου από τον VCO. Ως εκ τούτου, αν υπάρχει σφάλμα έστω και σε έναν κόμβο, η πύλη OR, που βρίσκεται 52

64 στο τμήμα εξόδου του κυκλώματος ελέγχου, α οδηγήσει το σήμα PASS/FAIL κοντά στο λογικό «1», δηλαδή θα υποδεικνύει ύπαρξη σφάλματος στον ταλαντωτή Νέα Προσέγγιση Κυκλώματος Ενσωματωμένης Αυτοεξέτασης Το σχηματικό του κυκλώματος αυτού παρουσιάζεται παρακάτω: Εικόνα 31 Πλήρες Κύκλωμα ελέγχου ενισχυτή με χρήση ανιχνευτών αιχμής Όπως φαίνεται η σχεδίασή του είναι αρκετά απλή μιας και περιλαμβάνει ουσιαστικά μόνο έναν μετατροπέα του σήματος εξόδου του ενισχυτή χαμηλού θορύβου σε DC τάση και στην συνέχεια την σύγκρισή της με δύο επίπεδα, συνήθως ένα ονομαστικό και ένα ελάχιστα χαμηλότερο, στο σημείο, που έχει τεθεί σαν όριο ανίχνευσης σφάλματος στον ενισχυτή. Η έξοδοι των δύο συγκριτών οδηγούνται σε μία πύλη, η οποία εξασφαλίζει ότι και τα δύο σήματα ελέγχου υποδεικνύουν μη- ύπαρξη σφάλματος στον ενισχυτή. Για τον συγκριτή τάσης πρέπει να ληφθεί ιδιαίτερη σημασία κατά την σχεδίαση, αφού είναι το κυριότερο τμήμα της προσέγγισης αυτής της διαδικασίας ελέγχου. Σχεδιαστικά δεν αποτελεί μεγάλο πρόβλημα, αλλά τα επιμέρους στοιχεία και τα μεγέθη των τρανζίστορ, που θα χρησιμοποιηθούν είναι αυτά που καθορίζουν και το σημείο, στο οποίο το κύκλωμα θα εξάγει το συμπέρασμα ύπαρξης τάσης χαμηλότερης στάθμης, οπότε και ύπαρξης σφάλματος στο εσωτερικό του ενισχυτή χαμηλού θορύβου, που αποτελεί το κύκλωμα υπό έλεγχο. Το σχηματικό του συγκριτή τάσης, που χρησιμοποιείται παρουσιάζεται παρακάτω: 53

65 Εικόνα 32 Τοπολογία Συγκριτή Τάσης Ο συγκριτής αυτός έχει σαν έξοδο μία από τις παρακάτω περιπτώσεις: V out=v OH, εφόσον V in+>v in- V out=v OL, εφόσον V in+<v in- Ακόμη, το τελευταίο στοιχείο, που περιέχει αυτό το κύκλωμα ελέγχου είναι ο ανιχνευτής της μέγιστης τιμής του σήματος, που εμφανίζεται παρακάτω σχηματικά: Εικόνα 33 Ανιχνευτής Αιχμής Ο πυκνωτής φορτίζεται με την ύπαρξη ενός σήματος στην είσοδο του Peak Detector στην μέγιστή του τιμή, όταν η δίοδος άγει, ενώ σε περίπτωση που η δίοδος δεν άγει, τότε μέσω της αρκετά μεγάλης αντίστασης εκφορτίζεται. Το ίδιο συμβαίνει και σε περίπτωση μη-ύπαρξης σήματος στην είσοδο. Ο ρυθμός πτώσης της τάσης, στην οποία είναι φορτισμένος καλείται Peak Detector Drop Rate και ορίζεται ως εξής: dv s dt 1 (25) C 54

66 Σε επίπεδο πλήρους σχηματικού πλέον, περιλαμβάνοντας και τον ενισχυτή, που είναι το κύκλωμα, που υφίσταται τον έλεγχο, μπορούμε να παρατηρήσουμε το παρακάτω σχηματικό: Εικόνα 34 Τοπολογία κυκλώματος ελέγχου ενισχυτή με χρήση συγκριτών τάσης Κύκλωμα ελέγχου συστημάτων μετάδοσης δεδομένων υψηλής αξιοπιστίας Εικόνα 35 Τοπολογία ελέγχου ενισχυτή με ανίχνευση μεταβολών σε ρεύμα και τάση πόλωσης Ένα τελευταίο σχηματικό κυκλώματος ενσωματωμένης αυτοεξέτασης, που αξίζει να παρουσιαστεί είναι το παραπάνω. Αυτό το μοντέλο ελέγχου περιλαμβάνει διπλή εξέταση για ανίχνευση βλαβών σε ενισχυτές χαμηλού θορύβου για πληρέστερη κάλυψη σφαλμάτων. Αναλυτικότερα, υπάρχουν δύο υποκυκλώματα. Το πρώτο υποκύκλωμα 55

67 περιλαμβάνει την εξέταση του ρεύματος που διαρρέει τον ενισχυτή για ανίχνευση σφαλμάτων, ενώ το δεύτερο είναι μία τυπική λύση για ανίχνευση μεταβολών στο πλάτος του σήματος εξόδου από τον ενισχυτή χαμηλού θορύβου. Αρχικά, στο παρακάτω σχήμα, μπορούμε να παρατηρήσουμε την δομή του κασκωδικού ενισχυτή χαμηλού θορύβου, όπως και τα σημεία, απ όπου θα εξαχθούν οι παράμετροι ελέγχου (ρεύμα και τάση πόλωσης). Στο παρόν κύκλωμα, έχει επιλεχθεί να υπάρχουν 3 τέτοιοι πυρήνες ενισχυτή, ώστε να αποφευχθούν τυχόν σφάλματα λόγω θορύβου ή άλλες τυχαίες συμπεριφορές του κυκλώματος υπό εξέταση. Εικόνα 36 Ενδεικτικό Σχηματικό κασκωδικού ενισχυτή χαμηλού θορύβου Αρχικά, αξίζει να μελετηθεί το κύκλωμα BICS (Built-In-Current-Sensor). Το κύκλωμα αυτό δεν έχει κάποια ιδιαίτερα πολύπλοκη λειτουργία, όπως παρουσιάζεται αμέσως παρακάτω: Εικόνα 37 Σχηματικό Ανιχνευτών ρεύματος και τάσης πόλωσης 56

68 Η σχεδίασή του στοχεύει στην εισαγωγή σε αυτό ενός ρεύματος, που διαρρέει τον ενισχυτή και να εξάγει ένα ανάλογο με αυτό ρεύμα. Το I bias είναι το ρεύμα του ενισχυτή, ενώ I means, είναι το «μετασχηματισμένο» ρεύμα, που εξάγει το κύκλωμα BICS. Το υποκύκλωμα λοιπόν, για την εξέταση του ρεύματος του ενισχυτή ολοκληρώνεται με την προσθήκη ενός συγκριτή ρεύματος, όπως έχει παρουσιαστεί και σε προηγούμενο παράδειγμα, ορίζοντας κατάλληλα τα όρια, στα οποία θεωρείται ότι έχει τιμές σωστής λειτουργίας. Η έξοδος από το υποκύκλωμα αυτό είναι ένα σήμα ελέγχου, που εξασφαλίζει ότι το ρεύμα του ενισχυτή βρίσκεται μέσα σε ανεκτά και αποδεκτά όρια. Το δεύτερο κύκλωμα, που πρέπει να εξετασθεί και να σχεδιαστεί είναι το κύκλωμα ελέγχου της τάσης πόλωσης του ενισχυτή. Η τάση αυτή εξάγεται εύκολα από συγκεκριμένο σημείο του ενισχυτή, όπως εμφανίζεται παραπάνω και στο σχηματικό του και εισάγεται στο υποκύκλωμα του V bias Sensor. Η τοπολογία αυτού του κυκλώματος είναι τυπικά και συνήθης. Περιλαμβάνει, λοιπόν, μία τάση αναφοράς, που μετά από μελέτη του ενισχυτή χαμηλού θορύβου έχει καθοριστεί, ενώ χρησιμοποιείται και ένας τελεστικός ενισχυτής για καθορισμό των ορίων εντός των οποίων πρέπει να κινείται η τιμή της τάσης πόλωσης του ενισχυτή. Πλέον, οι δύο τάσεις, που έχουν εξαχθεί από το κύκλωμα μετά τον τελεστικό ενισχυτή, αντικατοπτρίζουν τα όρια εντός των οποίων πρέπει να κινείται η τάση πόλωσης του ενισχυτή χαμηλού θορύβου. Στο επόμενο σημείο πρέπει να εισαχθούν και οι συγκριτές τάσης, που θα ανιχνεύσουν αν υπάρχει μεταβολή της τάσης αυτής εντός προκαθορισμένων ορίων. Το άνω και κάτω όριο της παραμέτρου αυτής πρέπει να τηρείται, για να θεωρηθεί ότι ο ενισχυτής λειτουργεί χωρίς σφάλματος. Έτσι, λοιπόν, πλεον είναι διαθέσιμο και ένα ακόμη σήμα, που εμφανίζει τα πιθανά σφάλματα στην τάση του ενισχυτή, τα οποία έχουν ανιχνευθεί. Τα δύο αυτά σήματα ελέγχου, το πρώτο για τον έλεγχο του ρεύματος και το δεύτερο για τον έλεγχο της τάσης πόλωσης περιέχουν πλέον όλες τις πληροφορίες περί ύπαρξης σφάλματος στον ενισχυτή χαμηλού θορύβου. Συνδυάζοντάς τα λοιπόν με μία κατάλληλη πύλη εξάγεται το τελικό συμπέρασμα για σωστή ή εσφαλμένη λειτουργία του LNA. Γενικά, η τεχνική ανίχνευσης σφαλμάτων μέσω του ρεύματος θεωρείται πολύ πιο αξιόπιστη και πολύ πιο αποδοτική, όμως σχεδιαστικά προβλήματα και δυσκολίες δεν την καθιστούν ευρέως χρησιμοποιούμενη. 57

69 Κεφάλαιο 5 Μεθοδολογία Σχεδίασης Σε αυτό το κεφάλαιο θα περιγραφεί η μεθοδολογία, που ακολουθήθηκε αφενός για την σχεδίαση του ενισχυτή χαμηλού θορύβου (LNA) και αφετέρου για την διαδικασία σχεδιασμού των κυκλωμάτων ελέγχου (Built-In-Self-Testing Circuits), που θα χρησιμοποιηθούν. 5.1 Σχεδίαση Ενισχυτών Χαμηλού Θορύβου Σχεδίαση ενισχυτή χαμηλού θορύβου κοινής πηγής με επαγωγική αντίδραση Η πιο δημοφιλής τοπολογία στη σχεδίαση των ενισχυτών χαμηλού θορύβου σε υψηλές συχνότητες είναι η γνωστή ως ενισχυτές κοινής πηγής με επαγωγική ανάδραση (Inductively Degenerated Common Source LNA). Το σχηματικό της μοντέλο στηρίζεται στο παρακάτω σχήμα και στη σταδιακή μετατροπή του, που παρουσιάζεται δίπλα, έτσι ώστε να ικανοποιούνται τα βασικά κριτήρια για την ορθή σχεδίαση ενισχυτών. 58

70 Εικόνα 38 Σχηματικά Σχεδιαζόμενου ενισχυτή χαμηλού θορύβου κοινής πηγής με επαγωγική αντίδραση Στη διάρκεια της σταδιακής μετατροπής του κυκλώματος αρχικά λαμβάνουμε υπόψη μας την ανάγκη για ύπαρξη θετικού κέρδους, που ικανοποιείται με τον ενισχυτή κοινής πηγής με ένα τρανζίστορ που λειτουργεί στη βασική ζώνη. Ένα ακόμα στοιχείο που θα πρέπει να αλλάξει είναι η καθαρά χωρητική σύνθετη αντίσταση εισόδου στη θέση της οποίας τελικά θα έχουμε είσοδο με αντίσταση 7 Ω, για να επιτευχθεί μεγάλο κέρδος. Ένα επιπλέον εμπόδιο είναι ότι χρειαζόμαστε πολύ υψηλή τιμή στην αντίσταση R L γεγονός που στη DC λειτουργία θα προκαλέσει μεγάλη πτώση τάσης στην αντίσταση φορτίου. Η αντίσταση αυτή είναι απαραίτητο να συνδεθεί στην εκροή του transistors M 1. Αλλά προκειμένου το κύκλωμα να λειτουργεί σωστά η τάση που έχουμε στα τρανζίστορ Μ 0 και Μ 1 πρέπει να είναι μεγαλύτερη από V, V V. Αντικαθιστώντας την R L με ένα DS sat GS T PMOS τρανζίστορ μπορούμε να περιορίσουμε την πτώση τάσης αν και με τον τρόπο αυτό θα προσθέσουμε ένα επιπλέον ποσό θορύβου. Εκμεταλλευόμαστε όμως το γεγονός ότι ο ενισχυτής απαιτείται να ενισχύει μόνο σε μια μικρή περιοχή συχνοτήτων γύρω από τη συχνότητα λειτουργίας f 0 και η R L έχει αντικατασταθεί από ένα πηνίο L d, που συντονίζεται παράλληλα, με την παρασιτική χωρητικότητα στην έξοδο του ενισχυτή στη συχνότητα λειτουργίας του. Έτσι, η ισοδύναμη αντίσταση φορτίου δίνεται από τον τύπο : Ld R R ( Q 1), οπου Q = (26) 2 0 L L, S L L RLS, και R L,S η στοιχειώδης αντίσταση σε σειρά με την επαγωγή. Με αυτό τον τρόπο ενώ η DC πτώση τάσης στο φορτίο είναι πολύ μικρή (οφείλεται στη R L,S), το κέρδος μπορεί να πάρει 59

71 πολύ μεγάλες τιμές. Τέλος αυτού του είδους ενισχυτές δίνουν συνήθως συντελεστή θορύβου περίπου 6 db, τιμή πολύ μεγάλη για τις περισσότερες εφαρμογές. Για την μείωση της τιμή αυτής προστίθεται στο κύκλωμα το πηνίο L s, στη θέση μιας αντίσταση τερματισμού. Για να πετύχουμε ακόμη μικρότερες τιμές θορύβου,πρέπει να υπάρξει προσαρμογή της σύνθετης αντίστασης εισόδου στην εσωτερική αντίσταση της πηγής τροφοδοσίας (input matching). Υπενθυμίζουμε ότι η εσωτερική αντίσταση της πηγής τροφοδοσίας θεωρείται ίση με 50 Ω. Τοποθετούμε ακόμη μια επαγωγή L g σε σειρά με την είσοδο, και τώρα η σύνθετη αντίσταση εισόδου δίνεται από τον τύπο: 1 Cin j ( Lg Ls ) Ls (27) j C gs Όπου C gs η χωρητικότητα ανάμεσα στην πύλη και στην πηγή και ω Τ η γωνιακή συχνότητα αποκοπής του transistor. Οι τιμές των L s και L d επιλέγονται έτσι ώστε στη συχνότητα λειτουργίας να ισχύει: 1 0( Lg Ls) (28) C 0 gs Το πηνίο L S προστίθεται για την ταυτόχρονη προσαρμογή θορύβου και εισόδου και το πηνίο L g για την προσαρμογή της σύνθετης αντίστασης μεταξύ της εσωτερικής αντίστασης της πηγής και της εισόδου του LNA. Εικόνα 39 Ισοδύναμο Κύκλωμα ενισχυτή χαμηλού θορύβου κοινής πηγής στην είσοδο Στο παραπάνω σχήμα φαίνεται το ισοδύναμο κύκλωμα μικρού σήματος στην είσοδο του ενισχυτή. Ο εν σειρά συνδυασμός των στοιχείων στο κύκλωμα έχει επιλεγεί έτσι ώστε να συντονίζεται στις συχνότητες που μας ενδιαφέρουν. Στο παρακάτω σχήμα, δίνεται 60

72 ένα απλοποιημένο κύκλωμα CS LNA με προσαρμογή στην είσοδο και στην έξοδο. Εικόνα 40 κύκλωμα κασκωδικού ενισχυτή χαμηλού θορύβου με προσαρμογή στην είσοδο και στην έξοδο Με βάση το προηγούμενο σχήμα,το κέρδος ισχύος δίνεται από τον τύπο: G T Pout R L Pav, s 4RS 0 2 (29), όπου P out η ισχύς εξόδου και P αν,s η διαθέσιμη ισχύς. Το κέρδος ισχύος αυξάνεται με την αύξηση της αντίστασης φορτίου R L και με την αύξηση της γωνιακής συχνότητας αποκοπής ω Τ. Επειδή η ω Τ μέσω του ενεργού μήκους καναλιού L eff,εξαρτάται από τη διαφορά V GS V T, το κέρδος ισχύος αυξάνεται με την αύξηση της διαφοράς V GS V T.Επίσης το κέρδος εξαρτάται από το g m όπως προκύπτει από την σχέση: P R G Q g R R out L 2 2 T in m L S Pav, s 4RS 0 2 (30),όπου Q in ugs 1 (31) είναι ο παράγοντας ποιότητας. Η παραπάνω u 2 C R s 0 gs s σχέση δείχνει ότι το κέρδος αυξάνει με το g m αλλά κρατώντας σταθερή τη διαφορά V GS V T. 61

73 Μετά από μια σειρά παραδοχών, καταλήγουμε να λάβουμε υπόψη μόνο το θόρυβο καναλιού του transististor M 1 και το θερμικό θόρυβο στην αντίσταση φορτίου R L για τον υπολογισμό του παράγοντα θορύβου F του ενισχυτή,αγνοώντας όλες τις άλλες πηγές θορύβου. Ο παράγοντας θορύβου προκύπτει: 2 2 F F F g R 0 0 s 1 ( d 1) ( L 1) 1 m s 4 RL R (32) όπου (F d 1) η συνεισφορά του transistor M 1 και (F L 1) η συνεισφορά της αντίστασης R L,ενώ γ ένας συντελεστής του transistor ο οποίος εξαρτάται από την πόλωση και το g m η διαγωγιμότητα του transistor. Παρατηρούμε ότι οι παράγοντες (F d 1) και (F L 1) μειώνονται με αύξηση του λόγου 0, εφόσον το κέρδος του κυκλώματος μεγαλώνει και η συνεισφορά της αντίστασης R L στο συνολικό θόρυβο γίνεται ολοένα και λιγότερο σημαντική. Επίσης ο παράγοντας (F L 1) μειώνεται με αύξηση της αντίστασης R L. Θα μελετήσουμε χωριστά την συμπεριφορά το παράγοντα g F g R 0 m ( d 1) m s 2 2 σε σχέση με τις διαστάσεις του Μ 1. Για σταθερό ρεύμα I DS: 1 ( F 1) d W 3 ( V V ) GS T 3 2 (33) Για σταθερό πλάτος transistor W: 1 1 ( Fd 1) ( V V ) I GS T (34) Για σταθερή τάση πόλωσης V GS V T: ( F 1) W I (35) Όσο αφορά τη γραμμικότητα του ενισχυτή LNA,μετά από υπολογισμούς έχει βγει το συμπέρασμα ότι ο μόνος σίγουρος τρόπος για να βελτιωθεί είναι αυξάνοντας την κατανάλωση ρεύματος. d 62

74 Παρακάτω, εμφανίζεται ένας πίνακας με τις πιθανές μεθόδους σχεδίασης και τα πλεονεκτήματα και μειονεκτήματα που παρέχει καθεμία. Πίνακας 6 Μέθοδοι Σχεδίασης ενισχυτών χαμηλού θορύβου Για λόγους απλότητας, επιλέχθηκε η τεχνική SNIM για την σχεδίαση του πρώτου ενισχυτή. Ξεκινώντας, θα πρέπει να θέσουμε κάποιες προδιαγραφές, τις οποίες θα θέλουμε να επιτύχει ο ενισχυτής, που θα σχεδιαστεί. Αυτές θα είναι οι ακόλουθες: Συχνότητα Λειτουργίας 2 Ghz Κέρδος Τάσης 10 db Προσαρμογή Εισόδου 50 Ω Προσαρμογή Εξόδου 50 Ω NF 2 db Σταθερότητα >1 IIP3-10 db Ανάστροφη Απομόνωση 20 db Πίνακας 7 Προδιαγραφές Σχεδίασης ενισχυτή χαμηλού θορύβου μονής εξόδου 63

75 Όπως έχει εξηγηθεί και παραπάνω, η σχεδίαση των ενισχυτών LNA δεν θα είναι τόσο διεξοδική. Θα γίνει μία προσπάθεια να υλοποιηθεί ένα κύκλωμα ενισχυτή, που να ανταποκρίνεται και να προσεγγίζει επιδόσεις αντίστοιχων ενισχυτών, απλά για να υλοποιηθούν αργότερα τα κυκλώματα ελέγχου. Αρχικά μας ενδιαφέρει να βρεθούν τα μεγέθη των τρανζίστορ, για το κύκλωμα του LNA. Γνωρίζουμε τις παρακάτω σχέσεις: W 3 Cgstox 2 οχ L (36) Gopt (1 c) (37) Gopt Cgs 2Q (38) C ox W L t ox 0 (39) ox Από την τεχνολογία t ox=4.2nm ε ο=3.9 ε ox=8.854*10-12 F/m και επιλέγοντας L=720 nm, το πλάτος υπολογίζεται W=51 um Το πλάτος του του transistor Μ 1 είναι τα 5/9 του πλάτους του Μ 0.Δηλαδή προκύπτει W 1=28 um. Η τιμή του πηνίου L s καθορίζεται από την τεχνολογία και είναι στην περιοχή 0.5 ph 1nH. Στην σχεδίαση αυτή θα χρησιμοποιήσουμε την μικρότερη τιμή που δίνει η τεχνολογία UMC 0.18 μm που είναι L s =0.52pH. Στη συνέχεια το πηνίο L g υπολογίζεται από 1 την σχέση Lg Ls (40) και L 2 g=0.52 nf. Cgs 0 Στην προσαρμογή εξόδου θέλοντας να έχουμε μεγάλο κέρδος τοποθετούμε αρχικά μια αντίσταση RL=520 Ω. Αυτή αντικαθίσταται από μία αντίσταση R L,S= 20 Ω και ένα πηνίο L d 2 σε σειρά. Το πηνίο υπολογίζεται από τις: RL RL, S ( Q L 1) (41), όπου Q Για Q L =5 προκύπτει ότι L d = 13 nh. L 0 d L (42) RLS, 64

76 Η χωρητικότητα εξόδου υπολογίζεται από τον τύπο f0 1 (43) και C L 2 out d C 1 2 f 0 out (44) Ld Για την συγκεκριμένη σχεδίαση προκύπτει C out = 1.3 pf. 5.2 Σχεδίαση κυκλωμάτων ενσωματωμένης αυτοεξέτασης Σχεδίαση Κυκλώματος ενσωματωμένης αυτοεξέτασης μονής εισόδου Το κύκλωμα BIST, που θα υλοποιηθεί στηρίζεται σε μία βασική αρχή των ενισχυτών, που θεωρεί ότι οποιοδήποτε σφάλμα ή αλλαγή στοιχείου στο εσωτερικό κύκλωμα ενός ενισχυτή θα οδηγήσει σε απόσβεση του σήματος εξόδου. Οπότε ανιχνεύοντας αυτήν την απόσβεση της τάσης εξόδου του ενισχυτή, μπορεί με σιγουριά να εξαχθεί το συμπέρασμα ύπαρξης σφάλματος στο κύκλωμα του ενισχυτή. Η τοπολογία του ETC (Embedded Test Circuit) εμφανίζεται παρακάτω: Εικόνα 41 Σχηματικό κυκλώματος αυτοεξέτασης μονής εισόδου 65

77 Όπως φαίνεται και από το κύκλωμα, η είσοδος του κυκλώματος είναι 2 αισθητήρια τρανζίστορ, ένα ζεύγος NMOS/PMOS (Μ 3 και Μ 4 αντίστοιχα), τα οποία έχουν ως κύριο στόχο να μετατρέψουν την τάση εισόδου του κυκλώματος σε ένα ρεύμα, το οποίο θα μεταφερθεί σε δύο καθρέπτες ρεύματος PMOS/NMOS παρακάτω (Μ 5-Μ 6 και Μ 7-Μ 8). Οι δύο έξοδοι των καθρεπτών ρεύματος είναι συνδεδεμένοι σε έναν κόμβο εξόδου, S 1. Το στάδιο αυτό του κυκλώματος λειτουργεί σαν διαφοριστής, οπότε και μπορούμε να δούμε ότι η κυματομορφή του κόμβου S 1 θα είναι ένα χαρακτηριστικό δείγμα διαφορισμένης κυματομορφής τάσης. Επίσης, δημιουργείται έτσι ένα στάδιο push-pull, όπως θα εξηγηθεί και παρακάτω. Η βασική προσαρμογή του κυκλώματος γίνεται μέσω των καθρεπτών ρεύματος, ώστε να αλλάξουν οι αναλογίες των ρευμάτων, που οδηγούνται προς την έξοδο. Τέλος, ο κόμβος S 1 ουσιαστικά αποτελεί ένα πρώτο δείγμα για την ύπαρξη ενός σφάλματος ή όχι, αλλά θα ήταν σόφρον να διαχωριστεί λίγο πιο ξεκάθαρα το αποτέλεσμα αυτό. Για τον λόγο αυτό τοποθετείται και ένα προσαρμοσμένο buffer στην έξοδο, το οποίο θα έχει ως έξοδο την «ψηφιακή» αποτύπωση ανίχνευσης σφάλματος ή όχι. Στο κύκλωμα υπάρχουν και τρία τρανζίστορ, τα οποία χρησιμεύουν στην αρχικοποίηση τιμών κόμβων και ελέγχου της διαδικασίας ελέγχου. Το τρανζίστορ Μ 9 δέχεται το σήμα TEN (Test Enabled), ενώ τα Μ 10 και Μ 11 το σήμα TENB, το συμπληρωματικό του προηγούμενου. Η διαδικασία ελέγχου είναι ενεργοποιημένη, όταν TEN=«0» και απενεργοποιημένη, όταν TEN=»1». Η διαδικασία σχεδίασης ενός τέτοιου BIST είναι αρκετά απλή. Η βασικότερη διαδικασία κατά την σχεδίαση είναι η προσαρμογή του κυκλώματος στον ενισχυτή χαμηλού θορύβου, που θα ελέγξει. Και αυτό φυσικά οφείλεται στο ότι πρέπει να προσαρμοστεί στην σωστή τάση εξόδου του ενισχυτή, την οποία θα αντιλαμβάνεται ως σωστή τάση λειτουργίας. Αυτό μπορεί να γίνει απλά αναλύοντας τις εξισώσεις ρευμάτων, που διαρρέουν τα τρανζίστορ, ανάλογα με την τάση στην είσοδο του BIST. Από την στιγμή που θα γίνει αυτό, μπορούμε να μελετήσουμε την ευαισθησία και την γενικότερη απόδοση του κυκλώματος ελέγχου. Εφόσον, ο ενισχυτής βρίσκεται σε σωστή τάση λειτουργίας, δηλαδή έχει ως έξοδο ημιτονοειδές σήμα σωστής συχνότητας και πλάτους, τα ρεύματα των δύο καθρεπτών ρεύματος θα είναι σχεδόν ίσα και αντίστροφα, οπότε θα οδηγούν τον κόμβο S 1 σταδιακά στην εκφόρτιση. Αυτό συμβαίνει ουσιαστικά, γιατί δημιουργείται ένα μονοπάτι, όπου το ρεύμα από το PMOS οδηγείται μέσω του NMOS προς την γη. Εφόσον, λοιπόν, ο κόμβος S 1 66

78 έχει τάση κοντά στο «0», εύκολα το buffer θα δώσει έξοδο ένα σήμα σχεδόν μηδενικής τάσης, που θα φανερώνει την σωστή λειτουργία χωρίς σφάλματα του ενισχυτή. Αντίθετα, αν υπάρχει κάποιο σφάλμα στην λειτουργία του ενισχυτή χαμηλού θορύβου, θα υπάρχει έστω κάποια απόσβεση στο σήμα εξόδου του. Έτσι, το τρανζίστορ NMOS θα διαρρέεται από μεγαλύτερο ρεύμα σε σχέση με το PMOS, οπότε μέσω των καθρεπτών, το στάδιο Push-pull θα διατηρεί τον κόμβο ελέγχου S 1 κοντά στην τάση Vdd, στην προκειμένη περίπτωση στα 3.3 V. Οπότε και μέσω του buffer θα δίνει έξοδο PASS/FAIL κοντά στο «1». Η σχεδίαση του κυκλώματος έγινε με τάση τροφοδοσίας τα 3.3 V και με τρανζίστορ της τεχνολογίας, που περιγράφθηκε προηγουμένως. Ο παρακάτω πίνακας παρουσιάζει τιμές στις παραμέτρους των τρανζίστορ για το BIST κύκλωμα: Supply Voltage 3.3 V W3, W5 0.8 μm W8, W9, W10, W μm W4 1.6 μm W6 2.1 μm W7 7.7 μm Length 0.35μm Πίνακας 8 Παράμετροι Σχεδίασης κυκλώματος αυτοεξέτασης μονής εισόδου Σχεδίαση Κυκλώματος ενσωματωμένης αυτοεξέτασης διαφορικής εισόδου Πλέον, στον διαφορικό ενισχυτή, σφάλματα κατά την λειτουργία του μπορεί να οδηγήσουν σε μεταβολές τόσο στο πλάτος των σημάτων RF out+ και RF out-, όσο και σε εσφαλμένη διαφορά φάσης μεταξύ τους ή και σε σχέση με την αρχική συχνότητα του σήματος εισόδου. Για τον λόγο αυτό το κύκλωμα BIST για διαφορική είσοδο, που σχεδιάστηκε περιλαμβάνει 3 βασικά τμήματα. Το πρώτο τμήμα αποτελεί τον Ανιχνευτή Μεταβολών Πλάτους (Amplitude Alterations Detector), το δεύτερο τον ανιχνευτή μεταβολής φάσης (Phase Frequency Detector), ενώ το τελευταίο block είναι μία μονάδα 67

79 συγκέντρωσης των αποτελεσμάτων από τις 2 προηγούμενες μονάδες για την εξαγωγή ενός κύριου αποτελέσματος περί σφάλματος ή μη στον LNA Ανιχνευτής Μεταβολών Πλάτους Το κύκλωμα, που σχεδιάστηκε είναι το ακόλουθο: Εικόνα 42 Σχηματικό Ανιχνευτή Μεταβολών Πλάτους (AAD) Όπως φαίνεται είναι παρόμοιο με το προηγούμενο κύκλωμα ελέγχου, που σχεδιάστηκε για τους Single Ended LNA. Η διαφορά αυτού του κυκλώματος είναι ότι δεν συγκρίνει ουσιαστικά την έξοδο με την είσοδο, ώστε να αναγνωρίσει σφάλμα. Η σύγκριση γίνεται μεταξύ των 2 εξόδων του ενισχυτή. Αυτή η μέθοδος είναι η συνηθέστερη, αφού έχει αποδειχθεί, ότι πιθανοτικά, όταν τα δύο σήματα μεταξύ τους έχουν διαφορές, τότε με απόλυτη ασφάλεια, μπορούμε να γνωρίζουμε ότι όντως υπάρχει σφάλμα στην λειτουργία του ενισχυτή. Για τον λόγο αυτό, σε διαφορικούς ενισχυτές συνηθίζεται σχεδόν πάντοτε να γίνονται μετρήσεις μεταξύ των δύο εξαγόμενων σημάτων. 68

80 Με την ίδια λογική, όπως και πριν λοιπόν, ελέγχουμε τους κόμβους TEST1 και TEST2, οι οποίοι θα μας δείξουν διαφορές στο πλάτος μεταξύ των δύο σημάτων. Άλλοτε το πρώτο και άλλοτε το δεύτερο σήμα ελέγχου θα διαφοροποιείται, ανάλογα με το ποιό σήμα εξόδου του ενισχυτή βρίσκεται σε χαμηλότερη τάση, λόγω σφάλματος. Έτσι, λοιπόν, σε περίπτωση, που το RF out+, λόγω σφάλματος του ενισχυτή είναι χαμηλότερα του RF out-, το σήμα ελέγχου TEST 1, θα τείνει να αλλάξει λογική στάθμη, ενώ στην ακριβώς αντίστροφη περίπτωση, θα διαφοροποιείται το σήμα ελέγχου TEST 2. Η βασική αρχή λειτουργίας του κυκλώματος στηρίζεται στο ότι διαφορετικά ρεύματα θα καταλήγουν στον κάθε κόμβο ελέγχου, που θα οφείλονται στα διαφοροποιημένα ρεύματα, που θα προέρχονται από τα sensing τρανζίστορ, που συνδέονται σε αυτά. Ο καθρέπτης ρεύματος είναι ένα ενδιάμεσο στάδιο, που απαιτείται για την ρύθμιση της όλης διάταξης και προσαρμογή του επιπέδου των ρευμάτων, ώστε να γίνεται κατάλληλη αλλαγή στάθμης του σήματος ελέγχου όταν ανιχνευθεί μικρότερη τάση στην είσοδο από τα sensing τρανζίστορ. Και πάλι υπάρχουν κάποια τρανζίστορ, τα οποία ελέγχουν την διαδικασία του testing. Κάποια από αυτά βρίσκονται φορτισμένα στην τάση τροφοδοσίας και άλλα γειωμένα, όπως περιγράφεται και στο σχήμα, για να αρχικοποιήσουν τις τιμές στους κόμβους του κυκλώματος. Η διαδικασία του testing ξεκινά όταν το σήμα TEST_EN έχει τιμή «1», ενώ σταματάει με τιμή «0». Το συμπληρωματικό του σήματος αυτού χρησιμοποιείται για τρανζίστορ, τα οποία πρέπει να βρίσκονται αρχικοποιημένα στην ακριβώς αντίθετη τιμή. Για την αρχική σχεδίαση του AAD, χρησιμοποιήθηκαν οι παρακάτω παράμετροι: Supply Voltage W 5, W 7 W 6, W 8 W 9, W 10, W 11, W 12, W 21, W 22 W 14, W 15, W 18, W 19 W 13 W 16 W 17 W 20 Transistor Length 3.3 V 2.6 um 1.3 um 0.8 um 0.8 um 9.25 um 1.5 um 9.25 um 1.5 um 0.35 um Πίνακας 9 Παράμετροι Σχεδίασης Ανιχνευτή Μεταβολών Πλάτους 69

81 Ανιχνευτής Μεταβολών Συχνότητας Το κύκλωμα ανίχνευσης αποκλίσεων του σήματος του ενισχυτή στον χρόνο αποτελείται από τρία βασικά μέρη. Το πρώτο είναι το κυρίως PFD (Phase Frequency Detector), το δεύτερο ένα charge pump και το τελικό τμήμα εξόδου, που αποτελείται από μία βαθμίδα ενίσχυσης και ένα buffer εξόδου. Το PFD παρουσιάζεται σχηματικά παρακάτω: Εικόνα 43 Σχηματικό ανιχνευτή μεταβολών συχνότητας (PFD) Τα δύο block, που εμφανίζονται παραπάνω είναι 2 DFF με ακροδέκτη reset. Η αρχή λειτουργίας του κυκλώματος αυτού είναι πολύ απλή, αφού ουσιαστικά χρησιμοποιώντας την ονομαστική συχνότητα ενός σήματος και την «εσφαλμένη» συχνότητα ενός ίδιου σήματος, την μία ως ρολόι και την άλλη σαν reset signal σε ένα D FF και ακριβώς το αντίστροφο στο δεύτερο D FF. Με τον τρόπο αυτό στην έξοδο θα έχουμε παλμούς, οι οποίοι θα δημιουργούνται από την διέγερση των D FF σε διαφορετικό χρόνο. Οι παλμοί αυτοί θα εμφανίζονται στην έξοδο UP είτε στην έξοδο DOWN, ανάλογα με το ποιο σήμα προπορεύεται στο χρόνο του άλλου. Σε ιδανική περίπτωση ταύτισης των δύο σημάτων στο χρόνο, θα υπάρχουν παλμοί απειροελάχιστης έκτασης, σχεδον ως ώσεις, οι οποίες ουσιαστικά θα καταδεικνύουν την μη-ύπαρξη διαφοράς στην συχνότητα των δύο σημάτων. Αντίθετα, αν υπάρχει κάποια διαφορά, τότε στον έναν ακροδέκτη θα σχηματίζονται οι 70

82 παλμοί, που είναι ιδιαίτερα χρήσιμοι ως αποτέλεσμα από αυτό το κύκλωμα για το επόμενο στάδιο επεξεργασίας. Η σχεδίαση του D FF συνοψίζεται στο παρακάτω σχηματικό: Εικόνα 44 Σχηματικό D Flip-Flop Όπως αναφέρθηκε και παραπάνω, ανάλογα με το ποιο σήμα προηγείται του άλλου και κατά πόσον, θα σχηματίζονται παλμοί διαφορετικού εύρους στην έξοδο άλλοτε στον ακροδέκτη up και άλλοτε στον ακροδέκτη down, (ανάλογα με το σήμα που προπορεύεται χρονικά του άλλου). Για να εκμεταλλευτούμε αυτά τα δύο σήματα και να ανιχνεύσουμε σφάλματα στη συχνότητα, θα πρέπει να σχεδιαστεί ένα ακόμη block, το οποίο αποτελεί το charge pump, ουσιαστικά ένα κύκλωμα, που θα χρησιμοποιεί τους παλμούς για να φορτίσει (σε περίπτωση εμφάνισης παλμού στον ακροδέκτη up) ή να τον εκφορτίσει (σε περίπτωση παλμού στον ακροδέκτη down) έναν πυκνωτή με την χρήση τρανζίστορ, ως διακοπτών. Έτσι, η έξοδος του κυκλώματος αυτού θα είναι μία τάση, άλλοτε αυξανόμενη και άλλοτε μειούμενη. Η συνδεσμολογία αυτή παρουσιάζεται παρακάτω: 71

83 Εικόνα 45 Σχηματικό Charge Pump Οι διακόπτες, όπως φαίνονται στο κύκλωμα παραπάνω, αντικαταστάθηκαν κατάλληλα, και έτσι προέκυψε για το charge pump το παρακάτω σχηματικό: Εικόνα 46 Τροποποιημένο Σχηματικό Charge Pump 72

84 Τέλος, το τμήμα εξόδου του κυκλώματος ελέγχου αποτελείται από έναν απλό ενισχυτή, που βοηθά στην ανύψωση της τάσης κατά ένα βαθμό, ώστε να είναι πιο εύχρηστη από το επόμενο κύκλωμα, που είναι ένα buffer. Το buffer αυτό, όπως έχει εξηγηθεί και σε προηγούμενο κεφάλαιο, πρέπει να είναι προσαρμοσμένο στην οριακή τιμή, που απαιτείται, ώστε να οδηγεί σε διαφορετική λογική στάθμη τάσης τις κυματομορφές, που θα δημιουργούνται από σήματα διαφορετικής συχνότητας από την ονομαστική, όπως έχει οριστεί. Έτσι, λοιπόν, καταλήγουμε στον ακροδέκτη PASS_FAIL, που είναι και η τελική ένδειξη για ύπαρξη ή όχι σφάλματος στα δύο σήματα εισόδου. Τιμή κοντά στο λογικό «0» καταδεικνύει σωστή λειτουργία, ενώ τιμή κοντά στο λογικό «1» ύπαρξη σφάλματος. Να σημειωθεί επιπλέον, ότι διάφορες δυσκολίες στην σχεδίαση, οδήγησαν σε χρήση τροφοδοσίας 1.8 V για το κύκλωμα AAD και PFD, με εξαίρεση το output buffer του τελευταίου, που σχεδιάστηκε με τάση τροφοδοσίας τα 3.3 V. Τέλος, για την ολοκλήρωση του κυκλώματος του BIST διαφορικής εισόδου, απαιτείται η τελευταία μονάδα, που είναι μία πύλη OR 3 εισόδων, οι οποίες θα είναι οι δύο έξοδοι από το AAD και μία έξοδος από την μονάδα PFD. Με τον τρόπο αυτό εξασφαλίζεται ότι σωστή έξοδος του BIST διαφορικής εισόδου, θα σημαίνει απαραίτητα 3 «σωστά» σήματα από τις προαναφερθείσες μονάδες. Η σχεδίασή του είναι απλή και για λόγους καλύτερης και ευκολότερης σχεδίασης, θα έχει και αυτή τάση τροφοδοσίας 3.3 V. 5.3 Ενοποίηση κυκλώματος ενισχυτή χαμηλού θορύβου και κυκλώματος αυτοεξέτασης Έχει ιδιαίτερα μεγάλη σημασία να γίνει σωστά η ενοποίηση του κυκλώματος, που βρίσκεται υπό-έλεγχο και του κυκλώματος ελέγχου, διότι θα πρέπει να μην δημιουργούνται προβήματα με την συνύπαρξή τους στο ίδιο κύκλωμα. Έτσι, λοιπόν, θα πρέπει να ληφθεί υπ όψιν ότι η προσαρμογή στην αντίσταση εισόδου και εξόδου του ενισχυτή θα πρέπει να βρίσκεται μονίμως στα 50 Ω και αυτό επιτυγχάνεται με την κατάλληλη τοποθέτηση αντιστάσεων και στοιχείων προσαρμογής ειδικότερα στην έξοδο, ώστε να μην έχουμε επιπλέον προβλήματα με ρεύματα διαφυγής. Για τον λόγο αυτό το κύκλωμα ελέγχου εισάγεται παράλληλα προς την «φυσιολογική ροή» πληροφορίας του LNA, που συμμετέχει 73

85 στο κύκλωμα, ενώ ο ενισχυτής βρίσκεται σε σειρά με μία αντίσταση 50 Ω, που λειτουργεί ως φορτίο καταλήγοντας στη γη. Τα δύο κυκλώματα προφανώς και μπορούν να λειτουργούν ανεξάρτητα το ένα από το άλλο και αυτό είναι και το σημαντικό, ώστε να μην έχουμε παρεμβολές. Στην είσοδο του LNA φυσικά τοποθετείται και μία πηγή σήματος κατάλληλης συχνότητας ανάλογα με την συχνότητα λειτουργίας του εκάστοτε ενισχυτή που μελετάται και προσομοιώνει την μεταδιδόμενη πληροφορία που επιθυμούμε. 5.4 Σχεδίαση αντιστροφέα και απομονωτή Στην πλειονότητά τους, οι προσομοιώσεις των κυκλωμάτων BIST οδηγούν σε ξεκάθαρες τιμές εξόδου, οπότε και ο απομονωτής που χρησιμοποιείται δεν χρειάζεται να εκτελέσει ιδιαίτερα δύσκολες διεργασίες. Το κύριο ζήτημα είναι ότι σε τάσεις κοντά στο σημείο, όπου έχει ανιχνευθεί ύπαρξη σφάλματος, η κυματομορφή εξόδου αρχίζει να έχει περίεργη εμφάνιση και ιδιαίτερα μεγάλο εύρος. Οπότε έχουμε δυσκολία στην ξεκάθαρη αποτύπωση του αποτελέσματος στην έξοδο PASS/FAIL του κάθε κυκλώματος. Επίσης, επιλέχθηκε η πιο απλή μορφή απομονωτή, δηλαδή η υλοποίησή του με την χρήση δύο εν σειρά συνδεδεμένων αντιστροφέων. Η πιθανή προσαρμογή του απομονωτή θα γίνεται αποκλειστικά και μόνο με την μεταβολή των πλατών των τρανζίστορ στον πρώτο αντιστροφέα, αφού ο δεύτερος θα είναι σε θέση να αναγνωρίσει πολύ εύκολα το ήδη ξεκάθαρο σήμα εξόδου του πρώτου. Έγιναν διάφορες παραμετρικές προσομοιώσεις και αποδείχθηκε ότι μία καλή αναλογία στα μεγέθη των 4 στοιχείων του buffer μπορεί να είναι όπως παρουσιάζεται στον παρακάτω πίνακα: Supply Voltage W 1 (pmos) W 2, W 4 (nmos) W 3 (pmos) Transistor Length 3.3 V 1 um 0.24 um 0.48 um 0.24 um Πίνακας 10 Παράμετροι Σχεδίασης Προσαρμοσμένου Απομονωτή 74

86 Κεφάλαιο 6 Πειραματικός Έλεγχος και Προσομοίωση Κυκλωμάτων 6.1 Προσομοίωση κυκλώματος Ενισχυτή Χαμηλού Θορύβου μονής εξόδου 2GHz Αφού έχει ολοκληρωθεί η διαδικασία θεωρητικής σχεδίασης του ενισχυτή, θα πρέπει να ελεγχθεί η συμπεριφορά του με αυθεντικά στοιχεία της τεχνολογίας με την οποία θα εργαστούμε. Για τον λόγο αυτό, απαιτούνται προσομοιώσεις. Στις προσομοιώσεις αυτές, τα εξωτερικά στοιχεία του ενισχυτή θα προέρχονται από την βιβλιοθήκη analoglib, ενώ όλα τα υπόλοιπα, συμπεριλαμβανομένων και των τρανζίστορ, θα προέρχονται από την βιβλιοθήκη της τεχνολογίας UMC Επιπροσθέτως, οι προσομοιώσεις, θα βοηθήσουν στην αναλυτικότερη μελέτη και στον συγκεκριμένο υπολογισμό τιμών στοιχείων για επίτευξη των περιορισμών, που έχουν τεθεί εξ αρχής. Τέλος, θα αποτυπωθούν και οι S παράμετροι και οι δύο επιπλέον παράμετροι γραμμικότητας IIP3 και του σημείου συμπίεσης 1dB, που μας ενδιαφέρουν για να εξαχθούν συμπεράσματα, ως προς την συμπεριφορά και την απόδοση του ενισχυτή. Το κύκλωμα προσαρμογής στην έξοδο πρέπει να μετασχηματίζει το τυπικό φορτίο των 50 Ω σε ένα ωμικό «μονοπάτι» με σύνθετη αντίσταση R L και την ίδια στιγμή να παρέχει 75

87 το ακριβές ποσό της παράλληλης χωρητικότητας που απαιτείται για να εξουδετερωθεί η ενεργός αυτεπαγωγή στην εκροή του Μ 2. Έτσι, λοιπόν, πρέπει να επιλέξουμε τις δύο τιμές για τους πυκνωτές C 1 και C 2. Πιο συγκεκριμένα, για κάθε πηνίο που υλοποιείται υπάρχει υλοποιήσιμος συνδυασμός των C 1 και C 2 που ικανοποιεί τους πιο πάνω περιορισμούς. Στην συγκεκριμένη υλοποίηση οι βέλτιστες τιμές είναι 1 pf και pf αντίστοιχα. Δεδομένης της τεχνολογίας που χρησιμοποιήσαμε με το λογισμικό του CADENCE (UMC 0.18 μm) η κύρια τροφοδοσία V dd2 τέθηκε αρχικά στην τυπική τιμή των 1.8.V. Μέσα από τις ίδιες προσομοιώσεις φάνηκε και η ανάγκη για την παρουσία μιας συνεχούς τάσης στην πύλη του τρανζίστορ Μ 1, η οποία στο σχεδιαστικό εργαλείο του CADENCE τοποθετήθηκε σε σειρά με την V in. Ο επόμενος κρίσιμος παράγοντας για την λειτουργία του ενισχυτή, είναι η πόλωση του τρανζίστορ Μ 2. Λανθασμένη εκτίμηση της τιμής της V dd1 μπορεί πολύ εύκολα να οδηγήσει τον ενισχυτή εκτός περιθωρίων λειτουργίας, γι αυτό απαιτείται ένας πρώτος σχετικά ακριβής υπολογισμός της V dd1. Μετά από υπολογισμούς, προκύπτει ότι V GS=2.3 V. Επίσης, η αυτεπαγωγή στην πύλη του Μ 1 πρέπει να επιλεγεί έτσι ώστε στην επιθυμητή συχνότητα λειτουργίας η σύνθετη αντίσταση εισόδου να είναι καθαρά ωμική. Οι αρχικοί υπολογισμοί, που έγιναν με βάση τις αρχικές εξισώσεις, έδωσαν αποτελέσματα για την τιμή του πυκνωτή L s=0.52ph και C GS=0.2pF. Με βάση αυτά τα στοιχεία μπορούμε να υπολογίσουμε το W 0=51 um, οπότε ακολούθως W1=28um. Εν συνεχεία, υπολογίστηκε οτι το πηνίο L g πρέπει να είναι 31 nh, L d=8,75 nh και L s=0.52 nh. Με βάση αυτά τα κυκλωματικά στοιχεία, φαινομενικά θα πληρούνται οι προϋποθέσεις σχεδίασης, αλλά θα πρέπει να ληφθεί υπ όψιν και η προσαρμογή τόσο στην είσοδο, όσο και στην έξοδο του ενισχυτή. Για τον λόγο αυτό, τοποθετήθηκε ένας μετασχηματιστής στην είσοδο του. Για τον υπολογισμό του λόγου των σπειρών, που θα έπρεπε να έχει αυτός, ώστε να επιτευχθεί πραγματικό μέρος αντίστασης εισόδου στα 50 Ω, έγιναν προσομοιώσεις για την συχνότητα λειτουργίας του 2 GHz. Με τις προσομοιώσεις αυτές, όπως φαίνεται και στο ακόλουθο σχήμα, προέκυψε, ότι ο λόγος των σπειρών του πρέπει να είναι 1.343/1. 76

88 Διάγραμμα 4 Διάγραμμα συσχέτισης λόγου σπειρών μετασχηματιστή εισόδου και πραγματικού μέρους αντίστασης εισόδου Στην συνέχεια, πρέπει να εξασφαλιστεί και η μηδενικότητα του φανταστικού μέρους της αντίστασης εισόδου, το οποίο επηρεάζεται από την αυτεπαγωγή L g. Για τον λόγο αυτό, θα πρέπει να γίνουν διάφορες προσομοιώσεις με βάση την τιμή αυτή, η οποία θα επηρεάσει τόσο τον θόρυβο και το κέρδος του ενισχυτή, όσο και την αντίσταση εισόδου του. Παρατίθενται, λοιπόν τα τρία σχετικά διαγράμματα. Αρχικά διάγραμμα εξάρτησης κέρδους του ενισχυτή με βάση τη αυτεπαγωγή Lg: Διάγραμμα 5 Διάγραμμα συσχέτισης αυτεπαγωγής L g και κέρδους ενισχυτή 77

89 Ακολουθεί το διάγραμμα συσχέτισης του Noise Figure με την αυτεπαγωγή L g: Διάγραμμα 6 Διάγραμμα συσχέτισης αυτεπαγωγής L g και Παράγοντα Θορύβου Τέλος, το διάγραμμα για το φανταστικό μέρος της αντίστασης εισόδου για διάφορες τιμές της L g: Διάγραμμα 7 Διάγραμμα συσχέτισης αυτεπαγωγής L g και φανταστικού μέρους αντίστασης εισόδου 78

90 Για να εξασφαλίσουμε τις προδιαγραφές σχεδίασης για καθαρά ωμική αντίσταση εισόδου και μηδενικό φανταστικό μέρος, επιλέγουμε L g=22.48 nh, επιδεινώνοντας τα αποτελέσματα σε κέρδος και παράγοντα θορύβου ελάχιστα. Η τελευταία παραμετρική προσομοίωση, που πρέπει να γίνει αφορά την αντίσταση εξόδου, για την οποία θέλουμε και πάλι να είναι προσαρμοσμένη στα 50 Ω, με καθαρά ωμική συμπεριφορά. Για τον λόγο αυτό, θα τοποθετηθεί άλλος ένας μετασχηματιστής στην έξοδο, για τον λόγο σπειρών του οποίου θα κάνουμε μία παραμετρική προσομοίωση. Οι σπείρες του δευτερεύοντος χάριν ευκολίας είαι ορισμένες στο 0.5 και η προσομοίωση γίνεται για τις σπείρες του πρωτεύοντος. Το διάγραμμα, που προέκυψε είναι το ακόλουθο: Διάγραμμα 8 Διάγραμμα Συσχέτισης λόγου σπειρών μετασχηματιστή εξόδου και αντίστασης εξόδου Σύμφωνα με το παραπάνω διάγραμμα λοιπόν, ο λόγος σπειρών του δεύτερου μετασχηματιστή, ο οποίος έχει τοποθετηθεί στην έξοδο του ενισχυτή θα είναι 1.01/0.5. Αφού ολοκληρώθηκαν και οι παραμετρικές εξισώσεις για τα στοιχεία, που χρειαζόταν να καθοριστούν επακριβώς οι τιμές τους, ώστε να επιτευχθούν οι σωστές προδιαγραφές σχεδίασης ενός ενισχυτή, μπορεί να ολοκληρωθεί το σχηματικό και να συνεχιστούν οι προσομοιώσεις για τα αποτελέσματα και τις επιδόσεις του LNA. 79

91 Το σχηματικό, όπως σχεδιάστηκε: Εικόνα 47 Σχηματικό Σχεδιάζόμενου ενισχυτή χαμηλού θορύβου στο Cadence Virtuoso Όπως προέκυψαν λοιπόν, τα διαγράμματα για τις S παραμέτρους και το NF του LNA. Αρχικά η παράμετρος S 11: Διάγραμμα 9 Διάγραμμα παραμέτρου S 11 ενισχυτή χαμηλού θορύβου 80

92 Ακολουθεί το διάγραμμα για την παράμετρο S 12: Διάγραμμα 10 Διάγραμμα παραμέτρου S 12 ενισχυτή χαμηλού θορύβου ενισχυτή: Επίσης, το διάγραμμα για την παράμετρο S 21, Που υποδηλώνει το κέρδος του Διάγραμμα 11 Διάγραμμα παραμέτρου S 21 ενισχυτή χαμηλού θορύβου 81

93 Ακόμη, η παράμετρος S 22: Διάγραμμα 12 Διάγραμμα παραμέτρου S 22 ενισχυτή χαμηλού θορύβου Τέλος, το διάγραμμα για τον παράγοντα θορύβου: Διάγραμμα 13 Διάγραμμα Παράγοντα Θορύβου ενισχυτή χαμηλού θορύβου 82

94 Για την καλή λειτουργία του ενισχυτή πρέπει να ελεγχθεί και το διάγραμμα IIP3, για την γραμμικότητα του LNA: Διάγραμμα 14 Διάγραμμα IIP3 ενισχυτή χαμηλού θορύβου Διάγραμμα 15 Διάγραμμα 1dB Σημείου Συμπίεσης ενισχυτή χαμηλού θορύβου Η τιμή για την γραμμικότητα προέκυψε -5.2 db, τιμή αρκετά καλή και εντός προδιαγραφών. Ακόμη, ελέγχουμε και το σημείο συμπίεσης 1 db (1 db Compression point): Για τα δύο τελευταία διαγράμματα, στο Παράρτημα περιγράφεται η ακριβής και αναλυτική διαδικασία εξαγωγής τους. 83

95 6.1.1 Παρουσίαση και σχολιασμός Αποτελεσμάτων ενισχυτή χαμηλού θορύβου μονής εξόδου 2GHz Συγκεντρωτικά, λοιπόν, προέκυψαν τα παρακάτω αποτελέσματα: Συχνότητα Λειτουργίας 2 GHz Power Consumption 10,91 mw S 11 S 12 S 21 S 22 NF IIP db db 13.5 db -28 db 0.99 db -5.2 db 1 db CP db Πίνακας 11 Αποτελέσματα Επιδόσεων ενισχυτή χαμηλού θορύβου μονής εξόδου Πέραν από την επίτευξη των βασικών προδιαγραφών σχεδίασης, επιτεύχθηκαν οι καλύτερες δυνατές τιμές κέρδους και παράγοντα θορύβου. Για τις υπόλοιπες παραμέτρους, τα αποτελέσματα κρίνονται ικανοποιητικά, καθώς επιτεύχθηκαν όλοι οι αρχικοί στόχοι σχεδίασης του LNA. Οι δύο τελευταίες παράμετροι είναι αυτές, που θα επηρρεάσουν και την απόδοση του συνολικού κυκλώματος όταν ενσωματωθεί με τα κυκλώματα BIST, αλλά και πάλι παρά την μέτρια απόδοσή τους, θεωρούνται καλές έστω για έναν απλό έλεγχο. 6.2 Προσομοίωση κυκλώματος ενσωματωμένης αυτοεξέτασης μονής εισόδου Θα γίνουν δύο είδη προσομοιώσεων για αυτό το κύκλωμα ελέγχου. Αρχικά θα διεξαχθούν simulations, με ιδανικές πηγές σημάτων με διαφορετικά πλάτη, αλλά ίδιας υψηλής συχνότητας, ώστε να προσεγγίζουν συμπεριφορά LNA σε RF κύκλωμα. Οι προσομοιώσεις πρώτου είδους θα γίνουν με σκοπό την εξαγωγή ενός διαγράμματος με την ευαισθησία του κυκλώματος BIST σε διαφορετικές τιμές τάσης εισόδου για έλεγχο. Με τον τρόπο αυτό, θα μπορεί να εξαχθεί ένα συμπέρασμα σχετικά με τα ρια καλής και αποδοτικής λειτουργίας του κυκλώματος που σχεδιάστηκε. 84

96 6.3.1 Πειράματα με ιδανικές Πηγές Σήματος Επιλέχθηκε για όλα τα σήματα εισόδου στο BIST να έχουν συχνότητα 2 GHz, περίπου όσο και συνηθισμένοι LNA. Επίσης, συνήθεις τιμές για τάσεις εξόδου ενισχυτών χαμηλού θορύβου είναι 1-3 V, άλλοτε πολωμένα και άλλοτε όχι. Εδώ θα διεξαχθούν προσομοιώσεις με σήματα πλάτους 1.5, 2, 2.5 και 3 V πολωμένα με 3.5 V για να δούμε αν θα υπάρξει κάποια μεταβολή στην ευαισθησία του κυκλώματος ανίχνευσης σφαλμάτων. Για την προσαρμογή του κυκλώματος BIST, σε κάθε simulation προηγείται μία παραμετρική ανάλυση για τα τρανζίστορ των καθρεπτών ρεύματος, όπως έχει περιγραφεί σε προηγούμενο κεφάλαιο, ώστε να μεταβληθεί το σημείο αναγνώρισης σφάλματος, λόγω μεταβολής του πλάτος της τάσης εισόδου στο κύκλωμα ελέγχου. Η διαδικασία αυτή παρουσιάζεται αναλυτικότερα στο Παράρτημα. Εν συνεχεία, η βασική παραμετρική ανάλυση θα περιλαμβάνει ημιτονοειδή σήματα μεταβλητού πλάτους, το οποίο θα κυμαίνεται περίπου -15% - +15% της βασικής τιμής του πλάτους του σήματος εισόδου με 6-8 διακριτά βήματα, ώστε να υπάρχει ξεκάθαρη αποτύπωση του σημείο ανίχνευσης σφάλματος, με αρκετά μεγάλο βαθμό αναλυτικότητας Προσομοίωση V in=1.5 V, f=2 GHz με πόλωση 3.5 V DC Τα πλάτη των τρανζίστορ βρίσκεται ότι πρέπει να είναι W 6=5 um και W 7=8.5 um. Από το παρακάτω διάγραμμα, παρατηρούμε ότι η οριακή τιμή για να θεωρηθεί ένα σήμα εσφαλμένο είναι τα V, που μας οδηγεί στο συμπέρασμα ευαισθησίας 1%. Διάγραμμα 16 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου (Vin=1.5 V) 85

97 Προσομοίωση V in=2 V, f=2 GHz με πόλωση 3.5 V DC Τα πλάτη των τρανζίστορ βρίσκεται ότι πρέπει να είναι W 6=11 um και W 7=3.56 um Διάγραμμα 17 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου (Vin=2 V) Σε αυτή την περίπτωση η ευαισθησία υπολογίζεται και πάλι 1%, αφού η οριακή τιμή θεώρησης σφάλματος 1.98 V Προσομοίωση V in=2.5 V, f=2 GHz με πόλωση 3.5 V DC Τα πλάτη των τρανζίστορ βρίσκεται ότι πρέπει να είναι W 6=19 um και W 7=2.25 um. Διάγραμμα 18 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου (Vin=2.5 V) 86

98 Οριακή τιμή εδώ είναι τα V, που οδηγεί σε ευαισθησία κοντά στα 1,72% Προσομοίωση V in=3 V, f=2 GHz με πόλωση 3.5 V DC Τα πλάτη των τρανζίστορ βρίσκεται ότι πρέπει να είναι W 6=18 um και W 7=1.85 um. Διάγραμμα 19 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου (Vin=3 V) Οριακή τιμή τα 2.93 V, οπότε και η ευαισθησία υπολογίζεται 2,22% Προσομοίωση κυκλώματος αυτοεξέτασης μονής εισόδου με ενισχυτή χαμηλού θορύβου 2GHz Αυτή η προσομοίωση επιλέχθηκε αφενός για να ελεγχθεί το BIST στην πράξη με το κύκλωμα BIST και αφετέρου για να ελέγξουμε το κύκλωμα ελέγχου σε σχετικά δύσκολες κυκλωματικές συνθήκες. Αυτό οφείλεται στις όχι και τόσο καλές επιδόσεις γραμμικότητας και συναφών παραμέτρων του LNA, επειδή έχει αφενός χαμηλό εύρος τάσεων εισόδου που μπορεί να δεχθεί και να είναι αποτελεσματικός και αφετέρου στο αρκετά χαμηλό εύρος των τάσεων εξόδου που παρέχει. Οπότε θα είναι ένα καλό παράδειγμα για να εξετασθεί το κατά πόσον μπορεί να ανιχνεύσει σφάλματα ενισχυτών, τα οποία δεν προκαλούν τόσο μεγάλη διαφοροποίηση στην τάση εξόδου. 87

99 Ο ενισχυτής λοιπόν, όπως μπορούμε να διαπιστώσουμε με διάφορες παραμετρικές αναλύσεις, λειτουργεί σαν πραγματικός ενισχυτής μέχρι τιμή τάσης εισόδου περί τα 400 mv. Οπότε δεν θεωρείται σκόπιμο να χρησιμοποιήσουμε πολύ μεγάλη τάση για σήμα εισόδου. Έτσι λοιπόν, θα εισάγουμε ένα ημιτονοειδές σήμα στον LNA πλάτους 340 mv, την έξοδο του οποίου στη συνέχεια θα εισάγουμε στο BIST κύκλωμα για έλεγχο. Παρόμοια με πριν, αλλάζουμε τα πλάτη των τρανζίστορ και προσαρμόζονται W 6=6 um και W 7=1.7 um. Το σχηματικό του συνολικού κυκλώματος κρίνεται περιττό, μιας και όλα τα τμήματά του, έχουν παρουσιαστεί σε προηγούμενα σημεία της εργασίας. Στην συνέχεια, λοιπόν, εκτελούμε την προσομοίωση και παρατηρούμε το ακόλουθο διάγραμμα: Διάγραμμα 20 Αποτελέσματα πειράματος κυκλώματος αυτοεξέτασης μονής εισόδου με χρηση του σχεδιασμένου ενισχυτή χαμηλού θορύβου Προκύπτει, λοιπόν, η οριακή τιμή αναγνώρισης σφάλματος στα V, δηλαδή ευαισθησία περίπου 3,53%. Αξίζει να σημειωθεί, ότι σε σχέση με προηγούμενα διαγράμματα στο τελευταίο γίνεται αντιληπτή η δυσκολία του κυκλώματος να αναγνωρίσει και να ξεκαθαρίσει την ύπαρξη σφάλματος (μεταβολής του πλάτους του σήματος). Για τον λόγο αυτό και οι κυματομορφές, που προέκυψαν είναι πολύ πιο έντονες και απαιτούν περισσότερο χρόνο, ώστε να προσαρμοστούν κοντά στην τιμή του λογικού «0» ή λογικού «1», αν και μετά από σχετικά ανεκτό χρόνο καταλήγουν στην ορθή επιλογή. 88

100 6.3.3 Προσομοίωση πραγματικών σφαλμάτων σε ενισχυτή με κύκλωμα ενσωματωμένης αυτοεξέτασης μονής εισόδου Σε αυτές τις προσομοιώσεις θα χρησιμοποιηθεί ο Single-Ended LNA, που έχει σχεδιαστεί και θα εισαχθούν διάφορα είδη συνηθισμένων σφαλμάτων, για να ελεγχθεί η πραγματική του ανιχνευσιμότητα και το ποσοστό κάλυψης των πιθανών σφαλμάτων. Για τον λόγο αυτό, δημιουργείται ένα σχηματικό, παρόμοιο με τα προηγούμενα, στα οποία όμως δεν χρησιμοποιείται καμία ιδανική πηγή, πλην αυτής για είσοδο σήματος στον LNA. Εικόνα 48 Σχηματικό πραγματικών βλαβών ενισχυτή χαμηλού θορύβου Στη συνέχεια, η έξοδος του LNA συνδέεται στην είσοδο του BIST μονής εισόδου, όπως έχει σχεδιαστεί. Θα ελεγχθούν σφάλματα βραχυκυκλώματος, ανοιχτού κυκλώματος, τόσο λόγω χωρητικότητας, όσο και λόγω αντίστασης, καθώς και μεταβολή παραμέτρων στα μεγέθη των τρανζίστορ, στο μέγεθος αυτεπαγωγών και χωρητικοτήτων. Παρακάτω παρουσιάζονται οι πίνακες με τα στοιχεία από τις προσομοιώσεις αυτές: 89

101 Short Fault Upper Detectable Resistance Limit S1 8 kω S2 3 kω S3, S6 Undetectable S4, S5 7 kω S7 6 kω S8 8 kω S9, S10, S11 Undetectable S12 10 kω S13 12 kω Πίνακας 12 Όρια Ανίχνευσης σφαλμάτων βραχυκυκλώματος Resistive Open Fault Lower Detectable Resistance Limit 300 Ω 40 Ω 3.5 Ω 15.5 Ω 800 Ω 13 Ω 18.5 Ω 13 Ω O1 O2 O3 O4 O5 O6 O7 O8 Πίνακας 13 Όρια Ανίχνευσης σφαλμάτων ανοιχτού κυκλώματος Capacitive Open Fault Capacitance Value Oc1 100 ff Oc2 100 ff Oc3 150 ff Oc4 0.8 ff Πίνακας 14 Όρια Ανίχνευσης σφαλμάτων χωρητικά ανοιχτού κυκλώματος Fault Placement BIST Result Width(M1) +20% Fail Width(M1) +30% Fail Width(M1) -20% Fail Width(M1) -30% Fail Width(M2) +20% Fail Width(M2) +30% Fail Width(M2) -20% Fail Width(M2) -30% Fail Length(M1) +10% Fail Length(M1) +20% Fail Length(M1) -10% Pass Length(M1) -20% Pass Length(M2) +10% Fail Length(M2) +20% Fail Length(M2) -10% Pass Length(M2) -20% Pass Πίνακας 15 Ανάλυση Ανίχνευσης Σφαλμάτων κυκλώματος αυτοεξέτασης 90

102 Οπότε προκύπτει ο παρακάτω πίνακας για την συνολική κάλυψη σφαλμάτων: Type Of Defect Fault Coverage Resistive Shorts 8/13 Resistive Opens 8/8 Capacitive Opens 4/4 Transistor Width 8/8 Transistor Length 4/8 Inductors Parametric 1/3 Capacitors Parametric 2/4 Overall Fault Coverage 35/48 (72,92%) Πίνακας 16 Αποτελέσματα κάλυψης σφαλμάτων κυκλώματος αυτοεξέτασης σε ενισχυτή χαμηλού θορύβου μονής εξόδου Σχολιασμός Αποτελεσμάτων Κυκλώματος Αυτοεξέτασης μονής εισόδου Με βάση τα πέντε παραπάνω simulations, που έγιναν με ιδανικές πηγές σημάτων, μπορούμε να κατασκευάσουμε ένα διάγραμμα, που παρουσιάζεται την ευαισθησία του BIST κυκλώματος ανάλογα με την τάση εισόδου στο κύκλωμα. Στην προκειμένη περίπτωση μικρή ποσοστιαία τιμή στην ευαισθησία, σημαίνει ότι ανιχνεύεται όλο και μικρότερη μεταβολή, γεγονός, που δείχνει καλύτερη απόδοση και συμπεριφορά του κυκλώματος BIST. 4,00% 3,50% 3,00% 2,50% 2,00% 1,50% 1,00% 0,50% Ευαισθησία Κυκλώματος BIST 0,00% 0,34 V (LNA) 1,5 V 2 V 2,5 V 3 V Διάγραμμα 21 Διάγραμμα Ευαισθησίας κυκλώματος αυτοεξέτασης μονής εισόδου συγκριτικά με το πλάτος σήματος εισόδου 91

103 Όσον αφορά τις τελευταίες προσομοιώσεις για πραγματικά σφάλματα στον ενισχυτή χαμηλού θορύβου, η διαδικασία κρίνεται ιδιαίτερα επιτυχής, μιας και επιτεύχθηκε αρκετά καλό ποσοστό κάλυψης σφαλμάτων, αν αναλογιστούμε την σχετικά απλοϊκή σχεδίαση του ενισχυτή και την ιδιαίτερα χαμηλή τάση εξόδου του. Παρ όλ αυτά τα περισσότερα σφάλματα, που προκαλούν άμεση μεταβολή στην τάση εξόδου ανιχνεύθηκαν (Ποσοστό περίπου 80%). Άλλα σφάλματα, όπως για παράδειγμα στην μεταβολή παραμέτρων χωρητικοτήτων και αυτεπαγωγών, δεν ανιχνεύθηκαν σε μεγάλο ποσοστό, μιας και η μεταβολή που προκαλούν στο κύκλωμα, έχει να κάνει περισσότερο με την αλλαγή της συχνότητας και της απόκρισης στο χρόνο του κυκλώματος. 6.4 Προσομοίωση κυκλώματος ενσωματωμένης αυτοεξέτασης διαφορικής εισόδου Στον διαφορικό ενισχυτή χαμηλού θορύβου πλέον, τα σφάλματα στο εσωτερικό του κύκλωμα, μπορεί να οδηγήσουν είτε σε μεταβολές στο πλάτος των εξερχόμενων σημάτων είτε μεταβολές στην συχνότητά τους, με τη μορφή διαφοράς φάσης. Για τον λόγο αυτό, στο τμήμα αυτό επιλέχθηκε να σχεδιαστούν κυκλώματα, ώστε να γίνει η μελέτη και για μεταβολές στο πλάτος, αλλά και για διαφορά φάσης στα σήματα. Τα πρώτα δύο πειράματα ελέγχουν τα δύο διαφορετικά κυκλώματα, δηλαδή τον έλεγχο για μεταβολή πλάτους και συχνότητας αντίστοιχα με την χρήση ιδανικών πηγών σημάτων, για να γίνει μία πρώτη μελέτη της αποδοτικότητάς τους και της γενικότερης λειτουργίας τους. Στη συνέχεια γίνεται και ένα πακέτο αρκετά ολοκληρωμένων πειραμάτων με όλα τα τμήματα του κυκλώματος BIST, όπως έχει περιγραφεί και πάλι με την χρήση ιδανικών πηγών σήματος Πείραμα Ανίχνευσης Σφάλματος πλάτους Επιλέχθηκε να γίνει μόνο ένα simulation, για έλεγχο του κυκλώματος AAD, επιλέγοντας να χρησιμοποιηθούν ιδανικές πηγές σήματος συχνότητας 2 GHz και πλάτους 1.8V. Θεωρήθηκε καλύτερη η διαδικασία διαχωρισμού των 2 σημάτων με διαφορετικές πηγές, πολώνοντας τα δύο σήματα κατά 3,5 V DC, πλησιάζοντας σε συνήθη έξοδο διαφορικών ενισχυτών χαμηλού θορύβου. Το κύκλωμα παρουσιάζεται παρακάτω: 92

104 Εικόνα 49 Σχηματικό Ανιχνευτή Μεταβολών πλάτους στο Cadence Virtuoso Προηγήθηκε παραμετρική μελέτη για τα μεγέθη των τρανζίστορ στους καθρέπτες ρεύματος, ώστε να προσαρμοστούν για έλεγχο στο συγκεκριμένο ύψος τάσης των σημάτων εισόδου. Επιλέχθηκε να γίνουν 2 simulations του κυκλώματος. Το πρώτο περιλαμβάνει ελάχιστα ανώτερα διαφοροποιημένη πόλωση του σήματος RF out-, ώστε να ελεγχθεί η σωστή λειτουργία του σήματος ελέγχου TEST 1. Η δεύτερη προσομοίωση είναι παρόμοια, αυτή τη φορά πολώνοντας διαφορετικά το σήμα RF out+, για να ελεγχθεί η συμπεριφορά του σήματος ελέγχου TEST 2. Για την πρώτη προσομοίωση, παρουσιάζονται τα αποτελέσματα στο επόμενο διάγραμμα: Διάγραμμα 22 Αποτελέσματα πειράματος Ανιχνευτή Μεταβολών Πλάτους (RF out->rf out+) 93

105 Παρατηρούμε, ότι η οριακή τιμή για να θεωρηθεί το σήμα εσφαλμένο είναι για διαφορά τάσης V=35mV, που ισοδυναμεί με ευαισθησία περίπου 1,94%. Ομοίως για την δεύτερη προσομοίωση, το αντίστοιχο διάγραμμα: Διάγραμμα 23 Αποτελέσματα πειράματος Ανιχνευτή ΜεταβολώνΠλάτους (RF out->rf out+) Και σε αυτήν την περίπτωση προκύπτουν τα ίδια αποτελέσματα όπως και για το σήμα ελέγχου TEST1, απολύτως λογικό, αφού τα 2 κυκλώματα, που υπολογίζουν τις τιμές τάσεων για τα σήματα αυτά είναι όμοια καθρεπτικά. Οπότε και σε αυτήν την περίπτωση η ευαισθησία είναι 1,94%. 94

106 6.4.2 Πείραμα Ανίχνευσης Σφάλματος συχνότητας Για να γίνουν οι προσομοιώσεις σχεδιάστηκαν τα κυκλώματα, που απαιτούνται, όπως περιγράφηκαν σε προηγούμενο κεφάλαιο. Η συνδεσμολογία του PFD παρουσιάζεται αναλυτικά παρακάτω, όπου είναι εμφανής και ο τρόπος σχεδίασης των D FF: Εικόνα 50 Σχηματικό D Flip-Flop στο Cadence Virtuoso Το σχηματικό, που περιλαμβάνει το block του charge pump και του τμήματος εξόδου, που ακολουθεί και ολοκληρώνει τον ανιχνευτή μεταβολών συχνότητας, παρουσιάζεται παρακάτω: Εικόνα 51 Σχηματικό Charge Pump και Απομονωτή Εξόδου στο Cadence Virtuoso 95

107 Το τμήμα δεξιότερα του πυκνωτή αποτελεί το τμήμα εξόδου του κυκλώματος ελέγχου. Αφού ολοκληρώθηκαν οι σχεδιάσεις, έγινε μία προσομοίωση για διαφορετικές τιμές στην διαφορά φάσης μεταξύ δύο ίδιων ημιτονοειδών σημάτων εισόδου. Το αποτέλεσμα παρουσιάζεται παρακάτω: Διάγραμμα 24 Αποτελέσματα πειράματος Ανιχνευτή Μεταβολών Συχνότητας Αναγνώριση σφάλματος όπως φαίνεται και παραπάνω υπάρχει από ΔΦ=4,5 ο και έπειτα. Τιμή αρκετά ικανοποιητική, αν αναλογιστούμε ότι λόγω θορύβου 1-2 ο θεωρούνται αποδεκτό έως μη υπαρκτό σφάλμα Πακέτο Ολοκληρωμένων Πειραμάτων κυκλώματος ενσωματωμένης αυτοεξέτασης Διαφορικού Σήματος Εφόσον έχουν σχεδιαστεί όλα τα επιμέρους τμήματα του κυκλώματος BIST διαφορικής εισόδου, θα έδινε πιο ολοκληρωμένα και σαφή αποτελέσματα για την συνολική του λειτουργία η συνένωση τους σε ένα ενιαίο πείραμα. Έτσι, λοιπόν, θα χρησιμοποιηθούν 2 ιδανικές πηγές σήματος, που θα αποτελούν τις εξόδους του διαφορικού ενισχυτή χαμηλού θορύβου RF out+ και RF out-. Τα σήματα αυτά θα έχουν συχνότητα 2 GHz και πλάτος 1.8 V. Τα ίδια σήματα θα αποτελέσουν τις εισόδους τόσο για το AAD όσο και για το PFD. Με τον τρόπο αυτό, θα έχουμε ένα αποτέλεσμα για ύπαρξη ή όχι σφάλματος στο πλάτος και ακόμη ένα για ύπαρξη σφάλματος στην συχνότητα. Το πρώτο αποτέλεσμα θα είναι διπλό, αφού ελέγχεται αμφίδρομα η διαφορά πλάτους. 96

108 Έτσι, λοιπόν, θα υπάρχουν 3 σήματα, τα οποία θα πρέπει να βρίσκονται κοντά στην λογική τιμή «0», ώστε να θεωρήσουμε ότι ο ενισχυτής λειτουργεί σωστά. Για να εξάγουμε το τελικό συμπέρασμα περί ύπαρξης σφάλματος λοιπόν, θα χρησιμοποιηθεί μία πύλη OR 3 εισόδων, ώστε να εξασφαλίσουμε ότι όλα τα σήματα θα συμμετέχουν στο τελικό αποτέλεσμα. Αρχικά, παρουσιάζεται το σχηματικό της πύλης που θα χρησιμοποιηθεί στο τελικό τμήμα του κυκλώματος αυτού: Εικόνα 52 Σχηματικό πύλης OR 3 εισόδων Κρίθηκε σκόπιμο να γίνουν 5 ξεχωριστές προσομοιώσεις για τους 5 πιθανούς συνδυασμούς σφαλμάτων. Το πρώτο πείραμα περιλαμβάνει σωστά σήματα και κατά πλάτος και κατά τη συχνότητα, το δεύτερο περιλαμβάνει μόνο σφάλμα στο πλάτος για το RF out+, το τρίτο μόνο σφάλμα πλάτους για το RF out-, το τέταρτο σφάλμα μόνο στην συχνότητα για το RF out- και το πέμπτο και τελευταίο πείραμα εμφανίζει τα σφάλματα και στο πλάτος και στην συχνότητα. Ουσιαστικά, το κύκλωμα, που θα χρησιμοποιηθεί, μπορεί να παρουσιαστεί συμβολικά παρακάτω: Εικόνα 53 Σχηματικό συνολικού κυκλώματος αυτοεξέτασης διαφορικής εισόδου 97

109 Για το πρώτο πείραμα επιλέγονται οι εξής παράμετροι για τα σήματα εισόδου: RFout+ RFout- Συχνότητα 2 GHz 2 GHz Πλάτος Σήματος 1.8 V 1.8 V Πόλωση Σήματος 3.5 V 3.5 V Καθυστέρηση Σήματος 0 o 0 o Πίνακας 17 Παράμετροι Ολοκληρωμένου Πειράματος 1 Το διάγραμμα με τα αποτελέσματα εξόδου είναι το ακόλουθο: Διάγραμμα 25 Αποτελέσματα Ολοκληρωμένου Πειράματος 1 Παρατηρούμε, ότι σε ελάχιστο χρόνο, τα δύο κυκλώματα τίθενται σε λειτουργία και καταλήγουν στο σωστό αποτέλεσμα, οπότε και το τελικό σήμα ελέγχου προκύπτει κοντά στο λογικό «0», που σηματοδοτεί σωστή λειτουργία. 98

110 Ομοίως για το δεύτερο πείραμα επιλέγονται οι εξής παράμετροι: RFout+ RFout- Συχνότητα 2 GHz 2 GHz Πλάτος Σήματος 1.8 V 1.8 V Πόλωση Σήματος 3.6 V 3.5 V Καθυστέρηση Σήματος 0 o 0 o Πίνακας 18 Παράμετροι Ολοκληρωμένου Πειράματος 2 Και το αντίστοιχο διάγραμμα προκύπτει ως εξής: Διάγραμμα 26 Αποτελέσματα Ολοκληρωμένου Πειράματος 2 Σε αυτό το πείραμα, το σφάλμα στο πλάτος του RF out+, οδηγεί σε ένδειξη σφάλματος το σήμα TEST 2, ενώ το TEST1 και TEST 3 σωστά παραμένουν στην ένδειξη σωστής λειτουργίας. Οπότε προφανώς το τελικό σήμα ελέγχου καταδεικνύει σφάλμα. 99

111 Ομοίως για το τρίτο πείραμα: RFout+ RFout- Συχνότητα 2 GHz 2 GHz Πλάτος Σήματος 1.8 V 1.8 V Πόλωση Σήματος 3.5 V 3.6 V Καθυστέρηση Σήματος 0 o 0 o Πίνακας 19 Παράμετροι Ολοκληρωμένου Πειράματος 3 Και το αντίστοιχο διάγραμμα: Διάγραμμα 27 Αποτελέσματα Ολοκληρωμένου Πειράματος 3 Και σε αυτό το διάγραμμα, εμφανίζεται στην στάθμη σωστής λειτουργία το σήμα TEST 1 και TEST 3, ενώ το TEST 2 καταδεικνύει σφάλμα στο πλάτος του σήματος RF out-. Έτσι, το τελικό αποτέλεσμα αναγνωρίζει το σφάλμα λειτουργίας. 100

112 Ακολούθως, για το πείραμα με σφάλματα συχνότητας: RFout+ RFout- Συχνότητα 2 GHz 2 GHz Πλάτος Σήματος 1.8 V 1.8 V Πόλωση Σήματος 3.5 V 3.5 V Καθυστέρηση Σήματος 0 o 5 o Πίνακας 20 Παράμετροι Ολοκληρωμένου Πειράματος 4 Και το αντίστοιχο διάγραμμα αυτού του πειράματος: Διάγραμμα 28 Αποτελέσματα Ολοκληρωμένου Πειράματος 4 Ορθώς τα σήματα TEST 1 και TEST 2 παραμένουν στο λογικό «0», ενώ η ανύψωση του TEST 3 κοντά στο λογικό «1» είναι δείγμα της αναγνώρισης του σφάλματος στη συχνότητα. Προφανώς το τελικό σήμα ελέγχου έχει την ένδειξη σφάλματος. 101

113 Τέλος, οι παράμετροι για την τελευταία ολοκληρωμένη προσομοίωση: RFout+ RFout- Συχνότητα 2 GHz 2 GHz Πλάτος Σήματος 1.8 V 1.8 V Πόλωση Σήματος 3.5 V 3.6 V Καθυστέρηση Σήματος 0 o 5 o Πίνακας 21 Παράμετροι Ολοκληρωμένου Πειράματος 5 εισόδου: Και το τελευταίο διάγραμμα με την απόκριση του κυκλώματος BIST διαφορικής Διάγραμμα 29 Αποτελέσματα Ολοκληρωμένου Πειράματος 5 Στο πείραμα αυτό, τα σήματα TEST 1 και TEST 3, δείχνουν σφάλματα τόσο στο πλάτος όσο και στη συχνότητα για το σήμα RF out-, οπότε και το τελικό σήμα ελέγχου αναγνωρίζει την ύπαρξη σφάλματος στα σήματα, που προσομοιώνουν τον ενισχυτή χαμηλού θορύβου. 102

114 6.4.4 Σχολιασμός αποτελεσμάτων κυκλώματος ενσωματωμένης αυτοεξέτασης διαφορικής εισόδου Στα παραπάνω πειράματα, που διεξήχθησαν, έγινε η προσπάθεια να γίνει ανίχνευση σφαλμάτων στην συχνότητα και στο πλάτος για διαφορικού τύπου ενισχυτές. Τα κυκλώματα αυτά, είναι κατά το δυνατόν μέγιστης ευαισθησίας, δηλαδή μπορούν να ανιχνεύσουν όσο γίνεται μικρότερες αποκλίσεις από τις ονομαστικές τιμές, που θα όφειλε να έχει κάποιος ενισχυτής. Μία αξιοσημείωτη διαφορά στο κύκλωμα PFD είναι ότι χρειάζεται μεγαλύτερο χρόνο απόκρισης, ώστε να μπορέσει να καταλήξει σε ένα ορθό συμπέρασμα για την ύπαρξη σφάλματος ή όχι σε ένα σήμα. Για τον λόγο αυτό, επιλέχθηκε και οι προσομοιώσεις, που έγιναν να έχουν αρκετά μεγάλο εύρος στον χρόνο και να είναι ξεκάθαρη η αποτύπωση ενός σωστού αποτελέσματος. Παρ όλα αυτά, η βασική του λειτουργία φάνηκε να είναι ορθότατη και μάλιστα με πολύ μικρή απόκλιση από ορθές τιμές, για την ανίχνευση ενός σφάλματος. Είναι σε θέση να αναγνωρίσει διαφορά φάσης κοντά στις 4,5 ο, που είναι πολύ σημαντική επιτυχία, μιας και είναι οριακά στο εύρος αποκλίσεων, που οφείλονται στον φυσιολογικό θόρυβο ενός κυκλώματος ενισχυτή. Παρόμοια, και το πρώτο κύκλωμα, που ανιχνεύει σφάλματος στο πλάτος των σημάτων λειτούργησε πολύ αποδοτικά, μιας και η ευαισθησία του υπολογίσθηκε κοντά στο 2% και μάλιστα χωρίς ιδιαίτερο κόπο προσαρμογής του κυκλώματος. Εν ολίγοις η σχεδίαση και η υλοποίηση του 2 ου κυκλώματος BIST κρίνεται ιδιαίτερα επιτυχημένη, με βάση και τις προσομοιώσεις, που έγιναν, γεγονός, που επιτρέπει την εύκολη και αποδοτική χρήση του σε εφαρμογές διαφορικών ενισχυτών για την ορθή ανίχνευση σφαλμάτων κατά την λειτουργία τους. 103

115 Κεφάλαιο 7 Συμπεράσματα και μελλοντική έρευνα Στην παρούσα εργασία έγινε μία προσπάθεια να μελετηθεί η συμπεριφορά κυκλωμάτων ενσωματωμένης αυτοεξέτασης σε κυκλώματα ενισχυτών χαμηλού θορύβου για ανίχνευση βλαβών τους. Ιδιαίτερη έμφαση δόθηκε σε ανίχνευση βλαβών, μέσω της ανάλυσης της μεταβολής του πλάτους του σήματος εξόδου των ενισχυτών. Ο κύριος στόχος ήταν να ελεγχθεί αν μπορούν να χρησιμοποιούνται με αποδοτικό τρόπο για την ανίχνευση βλαβών. Από την παραπάνω θεωρητική αλλά και πειραματική ανάλυση, που έγινε, μπορεί να εξαχθεί το συμπέρασμα, ότι τα συγκεκριμένα κυκλώματα BIST, που χρησιμοποιήθηκαν, με συγκεκριμένη προσαρμογή, φυσικά, στο κύκλωμα, που πρόκειται να ελέγξουν, μπορούν σε πολύ μεγάλο βαθμό και με πολύ καλή ευαισθησία να ανιχνεύσουν βλάβες σε ενισχυτές LNA. Οι βλάβες αυτές, όπως έγινε κατανοητό και από τις προσομοιώσεις, που διεξήχθησαν αφορούσαν σφάλματα, τόσο στο πλάτος των σημάτων εξόδου, όσο και σε σφάλματα στην συχνότητα. Συγκεκριμένα, όσον αφορά το πρώτο κύκλωμα BIST, έγινε κατανοητό ότι με πολύ μικρή απόκλιση, μπορεί να ανιχνεύσει μεταβολές στο πλάτος του εξαγόμενου από τον LNA σήματος, γεγονός, που το καθιστά πολύ χρήσιμο, ιδιαίτερα σε μεγάλες εφαρμογές. Ακόμη πιο ενθαρρυντικό ήταν το αποτέλεσμα της τελευταίας προσομοίωσης, που έγινε με το 104

116 συγκεκριμένο κύκλωμα ελέγχου, όπου δεν χρησιμοποιήθηκε ιδανική πηγή ημιτονοειδούς σήματος, αλλά ένας πραγματικός, συνηθισμένης τοπολογίας LNA. Η θετική έκβαση λοιπόν, της ανίχνευσης μεταβολών στο πλάτους του παραγόμενου σήματος από το ενισχυτή, κρίνεται ιδιαίτερα επιτυχής, αν και από το αντίστοιχο διάγραμμα γίνεται κατανοητή η δυσκολία του κυκλώματος να «αποφασίσει» για την ύπαρξη ή όχι κάποιου σφάλματος. Ωστόσο, μετά από ελάχιστο χρονικό διάστημα το κύκλωμα λειτουργεί ικανοποιητικά και εξάγει το σωστό αποτέλεσμα με εξίσου πολύ καλή ευαισθησία. Επίσης, οι έλεγχοι που έγιναν με πραγματικά σφάλματα, έδειξε ιδιαίτερα ενθαρρυντικά στοιχεία, μιας και τα περισσότερα σφάλματα ανιχνεύθηκαν, με εξαίρεση εκείνα, που προκαλούν μεταβολές στην απόκριση συχνότητας του κυκλώματος. Όσον αφορά το δεύτερο κύκλωμα ελέγχου, δόθηκε έμφαση στην ανίχνευση σφαλμάτων στο χρόνο, δηλαδή αποκλίσεις στην συχνότητα του LNA, μιας και αναφέρεται σε σφάλματα. Το κύκλωμα αυτό, λοιπόν, φάνηκε να λειτουργεί ιδιαίτερα αποτελεσματικά, μιας και ήταν σε θέση να ανιχνεύσει αποκλίσεις στα όρια του αποδεκτού σφάλματος, που οφείλεται στον θόρυβο του ενισχυτή. Εν γένει και το κύκλωμα αυτό ανταποκρίθηκε πάρα πολύ καλά και θεωρείται, πως μπορεί να χρησιμοποιηθεί με πολύ καλή ευαισθησία και ανιχνευσιμότητα για σφάλματα σε εφαρμογές διαφορικών ενισχυτών χαμηλού θορύβου. Παρά τις παραπάνω μελέτες και προσομοιώσεις, υπάρχουν διαθέσιμες πολλές τόσο θεωρητικές, όσο και σχεδιαστικές τεχνικές για ανίχνευση σφαλμάτων, αλλά και για υλοποίηση κυκλωμάτων BIST, που θα ήταν ίσως αποδοτικότερες για τον σκοπό χρήσης τους. Μία πολύ ενδιαφέρουσα μελέτη θα μπορούσε να γίνει αναλύοντας διεξοδικότερα τεχνικές, που ανιχνεύουν βλάβες μέσω μεταβολών στο ρεύμα, που διαρρέει τον ενισχυτή χαμηλού θορύβου, μιας και η μέθοδος αυτή θεωρείται πιο αποδοτική και μεγαλύτερης αξιοπιστίας. Επιπλέον, η σχεδίαση ενός ενισχυτή χαμηλού θορύβου με καλές προδιαγραφές για εισαγωγή πραγματικών σφαλμάτων θα ήταν ένα πολύ ενδιαφέρον πεδίο μελέτης για συνέχιση της έρευνας σε αυτόν τον τομέα. 105

117 Πίνακας Ορολογίας CMOS RF BIST CUT ORA ATGP NF SNR IIP3 LNA P1dB IFDR AAD PFD SAT SBT BICS DSP Complementary Metal Oxide Semiconductor Radio Frequency Built In Self Testing Circuit Under Testing Output Response Analyzer Automatic Test Pattern Generator Noise Frequency Signal-To-Noise Ratio Third Order Intercept Point Low Noise Amplifier 1dB Compression Point Intermodulation free dynamic range Amplitude Alterations Detector Phase Frequency Detector Simulation After Test Simulation Before Test Built In Current Sensor Digital Signal Processor Πίνακας 22 Πίνακας Ορολογίας 106

118 Βιβλιογραφία David J. Cassan and John R. Long, A 1-V Transformer-Feedback Low-Noise Amplifier for 5-GHz Wireless LAN in 0.18-m CMOS, solid-state circuits, vol. 38, No 3, March 2003 Ke-Hou Chen and Shen-Iuan Liu, Inductorless Wideband CMOS Low-Noise Amplifiers Using Noise-Canceling Technique, regular papers, vol. 59, No 2, February 2012 Low-Noise Amplifier in 90 nm CMOS, IEEE microwave and wireless components letters, vol. 22, No 4, April 2012 Χατζόπουλος Α., Σημειώσεις Ηλεκτρονικής I Τμήματος Ηλεκτρολόγων Μηχανικών & Μηχανικών Α.Π.Θ Χατζόπουλος Α., Σημειώσεις Τηλεπικοινωνιακής Ηλεκτρονικής Τμήματος Ηλεκτρολόγων Μηχανικών & Μηχανικών Α.Π.Θ Σχεδίαση Ολοκληρωμένων Συστηματων CMOS VLSI, Neil H. E. Weste David M. Harris (4η Έκδοση) Introduction to VLSI Testing, Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan CΔIDDQ: Improving Current-Based Testing and Diagnosis Through Modified Test Pattern Generation Claude Thibeault, Senior Member, IEEE, and Yassine Hariri, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 19, NO. 1, JANUARY 2011 Paul Leroux, Low Noise Amplification in CMOS high-frequency receivers, June 2004 Brian Frank 3Low Noise Amplifier Design ELEC 483-Microwave and RF Circuits and Systems Z.Cui, J.J Liou.A spice-like reliability model for deep-submicron CMOS technology, available online at September 2005 Φραγκούλη Χρυσούλα Σακελλαρίου Ανδρέας Κυκλώματα χαμηλού θορύβου σε υψηλές συχνότητες, διπλωματική εργασία Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Ηλεκτρονικών Υπολογιστών Α.Π.Θ, 2006 Tian Tong Radio Frequency Integrated Systems and Circuits Division Aalborg University,

119 Michael Perrott High Speed Communication Circuits and Systems Lecture 9 Low Noise Amplifiers Massachusetts Institute of Technology 2003 Frank Ellinger, GHz SOI CMOS Low Noise Amplifiers, in IEEE Journal of Solid- State Circuits,Vol.39,No.3,March 2004 Hans-Dieter Wohlmuth Werner Simbόrger, A High-IP3 RF Receiver Chip Set for Mobile Radio Base Stations up to 2 GHz, in IEEE Journal of Solid-State Circuits,Vol.36,No.7,July 2001 SpectreRF User s Guide N.H Weste, K.Eshraghian,Σχεδίαση Ολοκληρωμένων Κυκλωμάτων CMOS VLSI, εκδόσεις Παπασωτηρίου Μαρία Χαλκιά, Σχεδίαση Αλυσίδων Σάρωσης Υψηλής Ταχύτητας και Χαμηλής Κατανάλωσης, Μεταπτυχιακή Εργασία στο Τμήμα Πληροφορικής Πανεπιστημίου Ιωαννίνων, Ιούνιος 2010 Δρ. Λάμπρος Μπισδούνης, Αναλογικά Ηεκτρονικά, Σημειώσεις Διδασκαλίας, Τμήμα Ηλεκτρολόγων Μηχανικών Τ.Ε., Τ.Ε.Ι. Δυτικής Ελλάδας, 2013 Λιώλης Φ. Σπυρίδων, Κάτω Μεταλλάκτης στην μικροκυματική περιοχή περιοχή 1-6 GHz με χρήση κατανεμημένου ενισχυτή, Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών Μπακάλης Δημήτριος, Σημειώσεις Εργαστηρίου Ηλεκτρονικής, Τμήμα Φυσικής Πανεπιστημίου Πατρών Volkan Kursun, Supply and Threshold Voltage Scaling Techniques in CMOS Circuits, University of Rochester, New York, 2004 Νικόλαος Τσατσούλης, Εργασία «Κυκλώματα Ενισχυτών Χαμηλού Θορύβου στις Υψηλές Συχνότητες», 2008 Σωτήριος Δ. Ματάκιας, Διδακτορική Διατριβή «Αναλογικές Τεχνικές Ορθής Λειτουργίας CMOS Ολοκληρωμένων Κυκλωμάτων», Εθνικό Καποδιστριακό Πανεπιστήμιο Αθηνών, Μάϊος Mhd Zaher Al Sabbagh, B.S., "0.18μm Phase/Frequency Detector and Charge Pump Design for Digital video broadcasting for handheld s phase-locked-loop systems", School of The Ohio State University, 2007 Yashpal Sen, Nitin Jain, "Design and Implementation of Phase Locked Loop Using Current Starved Voltage Controlled Oscillator", 2Dept. of E&TC, C.E.C., Bilaspur, CSVTU, (C.G.), INDIA 108

120 L. Dermentzoglou, A. Karagounis, A. Arapoyanni, Y. Tsiatouhas, "An Embedded Test Circuit for RF Single Ended Low Noise Amplifiers", /07 IEEE, 2007 Lambros E. Dermentzoglou, Angela Arapoyanni, Member, IEEE, and Yiorgos Tsiatouhas, Member IEEE, "A Built-In-Test Circuit for RF Differential Low Noise Amplifiers", IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 7, JULY

121 Παράρτημα Υπολογισμός γραμμικότητας ενισχυτή LNA (εύρεση σημείου IIP3) και 1dB Compression Point Για να γίνουν οι υπολογισμοί των δύο παραπάνω σημείων, απαιτούνται δύο βασικά βήματα. Το πρώτο αφορά την προσαρμογή παραμέτρων στο PORT εισόδου και το δεύτερο στην καθ αυτού διαδικασία PSS (Periodic Steady-State Analysis) Simulation. Στα παρακάτω σχήματα φαίνονται αυτά τα βήματα αναλυτικά για τον καθορισμό των μεταβλητών. Στο αριστερό σχήμα είναι η προσαρμογή του PORT εισόδου και δεξιά τα στοιχεία που εισήχθησαν για το PSS simulation. Εικόνα 55 Καθορισμός παραμέτρων PORT εισόδου Εικόνα 54 Καθορισμός παραμέτρων PSS προσομοίωσης 110

122 Για τον υπολογισμό του IIP3, θα πρέπει να εφαρμοστούν στην είσοδο του ενισχυτή δύο τόνοι, ένας εκ των οποίων στην συχνότητα λειτουργίας και ο δεύτερος ελάχιστα υψηλότερα. Η συνηθης τεχνική είναι να χρησιμοποιείται ο δεύτερος τόνος σε συχνότητα 10 MHz υψηλότερα από την συχνότητα λειτουργίας, αν και σε ορισμένες περιπτώσεις η διαφορά αυτή μπορεί να είναι και 100 MHz. Για αυτόν τον λόγο καθορίστηκαν στην συγκεκριμένη εφαρμογή οι δύο συχνότητες στα 2 GHz και 2.02 GHz, ενώ στα πεδία Amplitude1(dBm) και Amplitude2(dBm), εισήχθη η παράμετρος prf. Στη συνέχεια εισάγονται και οι παράμετροι για το PSS Smulation. Εδώ έχει σημασία να επιλεχθεί σωστά το πλήθος των αρμονικών, που θα εξεταστούν.με βάση το βήμα, που έχει καθοριστεί, επιλέγουμε λίγο περισσότερες από τις αρμονικές, που θα μας οδηγήσουν στην συχνότητα λειτουργίας. 230 κρίνονται αρκετά επαρκείς, μιας και με βήμα 10 MHz, πλησιάζουν στα 2.3 GHz, Που είναι μέσα στα όρια και των δύο τόνων, που θα χρησιμοποιηθούν. Το εύρος του σήματος εισόδου επιλέχθηκε στο εύρος -30 εως 10 dbm, ως συνήθως. Στην συνέχεια εκτελείται το simulation και προχωράμε στην σχεδίαση των καμπυλών. Επιλέγουμε Results => Direct Plot => Main Form και επιλέγουμε αρχικά το IPN Curves. Παρακάτω, μας ζητούνται τιμές για 1 η και 3 η αρμονική, όπου χρησιμοποιούμε τις τιμές, όπως έχουν αναφερθεί παραπάνω και στη συνέχεια επιλέγουμε το PORT από το σχηματικό και στην συνέχεια εμφανίζεταιη IIP3 καμπύλη γραμικότητας. Για το 1dB Compression Point, η διαδικασία ξεκινά από το σημείο, όπου έχει ολοκληρωθεί το PSS Simulation. Κατά τα γνωστά, επιλέγουμε Results => Direct Plot => Main Form και στη συνέχεια την καρτέλα Compression Point. Εδώ μας ζητείται μόνο η 1 η αρμονική, οπότε και εισάγουμε την συχνότητα λειτουργίας του ενισχυτή και επιλέγοντας το PORT εισόδου, έχουμε το διάγραμμα 1dB Compression Point. Υπολογισμός Μεγεθών Τρανζίστορ καθρεπτών ρεύματος για ανίχνευση μεταβολών πλάτους Αναφερόμενοι στο κύκλωμα ανίχνευσης μεταβολών πλάτους σήματος, για προκαθορισμένη τιμή πλάτους (σωστής λειτουργίας), θα πρέπει να υπολογιστούν τα πλάτη των τρανζίστορ, που αποτελούν τους καθρέπτες ρεύματος. Για την διαδικασία αυτή, θα πρέπει να γίνουν αρχικά παραμετρικές προσομοιώσεις με άγνωστα στοιχεία τα δύο εσωτερικά τρανζίστορ των καθρεπτών, τα οποία θα καθορίσουν και τα τελικά ρεύματα, τα οποία θα περάσουν από τον κοινό τους κόμβο. Για τον λόγο αυτό, θέτονται ως άγνωστες 111

123 παράμετροι τα δύο αυτά πλάτη και στην συνέχεια, αφού επιλεγεί Transient Ανάλυση μέσω του Analog Enviroment για συγκεκριμένο μικρό χρονικό διάστημα (20-30 ns είναι αρκετά στην προκειμένη περίπτωση), και αφού επιλεγούν οι κόμβοι εξόδου, που θέλουμε να σχεδιαστούν σε κυματομορφή, μεταβαίνουμε στο μενού Tools => Parametric Analysis. Σε αυτήν την καρτέλα, αφού εισάγουμε τον απαραίτητο αριθμό γραμμών για τις μεταβλητές, εισάγουμε τις αρχικές και τελικές τους τιμές, όπως και τον αριθμό των βημάτων που επιθυμούμε να περιλαμβάνει κάθε επαναληπτική διαδιασία για τις μεταβλητές, που θα χρησιμοποιήσουμε. Η τρίτη παράμετρος, που απαιτείται είναι η μεταβαλόμενη τιμή του εισαγόμενου σήματος (χρησιμοποιούνται ιδανικές πηγές ημιτονοειδών σημάτων). Αφού ολοκληρωθεί η διαδικασία, εκτελούμε το Simulation, μέσω αυτού του παραθύρου και μπορούμε να παρατηρήσουμε στην συνέχεια τις κυματομορφές, που έχουν δημιουργηθεί, για να ελέγξουμε την λειτουργικότητα και την αποτελεσματικότητα των συνδυασμό των μεταβλητών, που έχουν δημιουργηθεί. Για παράδειγμα, ας ελέγξουμε την διαδικασία για την προσαρμογή του BIST μονής εισόδου σε πλάτος σωστής λειτουργίας 3.5 V. Η προσαρμογή των παραμέτρων είναι, όπως εμφανίζονται παρακάτω: Εικόνα 56 Παράδειγμα Παραμετρικής Ανάλυσης Πλάτους Τρανζίστορ Καθρεπτών 112

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν.

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων 1 Περίγραμμα παρουσίασης Ανάγκη για έλεγχο ορθής λειτουργίας Επιβεβαίωση σχεδιασμού έναντι επιβεβαίωσης ορθής λειτουργίας μετά την κατασκευή και

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής

ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής ΚΕΦΑΛΑΙΟ 6 Διαφορικός ενισχυτής Ο διαφορικός ενισχυτής (differential amplifier) είναι από τα πλέον διαδεδομένα και χρήσιμα κυκλώματα στις ενισχυτικές διατάξεις. Είναι βασικό δομικό στοιχείο του τελεστικού

Διαβάστε περισσότερα

Μετρολογικές Διατάξεις Μέτρησης Θερμοκρασίας. 4.1. Μετρολογικός Ενισχυτής τάσεων θερμοζεύγους Κ και η δοκιμή (testing).

Μετρολογικές Διατάξεις Μέτρησης Θερμοκρασίας. 4.1. Μετρολογικός Ενισχυτής τάσεων θερμοζεύγους Κ και η δοκιμή (testing). Κεφάλαιο 4 Μετρολογικές Διατάξεις Μέτρησης Θερμοκρασίας. 4.1. Μετρολογικός Ενισχυτής τάσεων θερμοζεύγους Κ και η δοκιμή (testing). Οι ενδείξεις (τάσεις εξόδου) των θερμοζευγών τύπου Κ είναι δύσκολο να

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές

Τελεστικοί Ενισχυτές Τελεστικοί Ενισχυτές Ενισχυτές-Γενικά: Οι ενισχυτές είναι δίθυρα δίκτυα στα οποία η τάση ή το ρεύμα εξόδου είναι ευθέως ανάλογη της τάσεως ή του ρεύματος εισόδου. Υπάρχουν τέσσερα διαφορετικά είδη ενισχυτών:

Διαβάστε περισσότερα

Περιεχόμενα. ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος. 1.1 Εισαγωγή

Περιεχόμενα. ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος. 1.1 Εισαγωγή Περιεχόμενα ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος 1.1 Εισαγωγή 1.2 Περιοχή Απογύμνωσης μιας Επαφής pn 1.2.1 Χωρητικότητα της Περιοχής Απογύμνωσης 1.2.2 Κατάρρευση Επαφής 1.3

Διαβάστε περισσότερα

Bλάβες, ελαττώματα και. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών

Bλάβες, ελαττώματα και. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Bλάβες, ελαττώματα και μοντέλα σφαλμάτων Περίγραμμα ργρ παρουσίασης Βλάβες (Failures) Ελαττώματα (Defects) Μοντέλα σφαλμάτων (Fault models) Μοντέλο σφαλμάτων μόνιμης μης τιμής (Stuck-at faults Βραχυκυκλώματα

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 1η. Σημειώσεις μαθήματος: E mail:

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 1η. Σημειώσεις μαθήματος: E mail: Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/ E mail: pasv@teiath.gr 2 1 ΠΕΡΙΕΧΟΜΕΝΑ

Διαβάστε περισσότερα

Πείραμα. Ο Διαφορικός Ενισχυτής. Εξοπλισμός. Διαδικασία

Πείραμα. Ο Διαφορικός Ενισχυτής. Εξοπλισμός. Διαδικασία Ο Διαφορικός Ενισχυτής Ο διαφορικός ενισχυτής είναι η βαθμίδα εισόδου άμεσης σύζευξης ενός τυπικού τελεστικού ενισχυτή. Η πιο κοινή μορφή ενός διαφορικού ενισχυτή είναι ένα κύκλωμα με είσοδο δύο άκρων

Διαβάστε περισσότερα

Κεφάλαιο 15 o. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Έλεγχος Ορθής Λειτουργίας 2

Κεφάλαιο 15 o. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Έλεγχος Ορθής Λειτουργίας 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Έλεγχος Ορθής Λειτουργίας Κεφάλαιο 15 o Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Ελαττώματα, σφάλματα, λάθη 2. Ανίχνευση σφαλμάτων

Διαβάστε περισσότερα

Ενισχυτικές Διατάξεις 1. Ο Τελεστικός ενισχυτής 741

Ενισχυτικές Διατάξεις 1. Ο Τελεστικός ενισχυτής 741 Ενισχυτικές Διατάξεις 1 Ο Τελεστικός ενισχυτής 741 Ενισχυτικές Διατάξεις 2 Iστορική Αναδρομή 1964 Ο Bob Widlar σχεδιαζει το πρώτο ΤΕ: τον 702. Μόνο 9 transistors, απολαβή OL: 1000 Πολύ ακριβός : $300 per

Διαβάστε περισσότερα

«Ενισχυτές ενός τρανζίστορ και πολλών τρανζίστορ»

«Ενισχυτές ενός τρανζίστορ και πολλών τρανζίστορ» ΗΥ335: Προχωρημένη Ηλεκτρονική «Ενισχυτές ενός τρανζίστορ και πολλών τρανζίστορ» Φώτης Πλέσσας fplessas@inf.uth.gr ΤΗMMΥ Σκοπός διάλεξης Παρουσίαση των σημαντικότερων τοπολογιών ενισχυτών με ένα και περισσότερα

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής

ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής Ο τελεστικός ενισχυτής, TE (operational ampliier, op-amp) είναι ένα από τα πιο χρήσιμα αναλογικά κυκλώματα. Κατασκευάζεται ως ολοκληρωμένο κύκλωμα (integrated circuit) και

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας

Πανεπιστήμιο Θεσσαλίας Πανεπιστήμιο Θεσσαλίας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ανάλυση Κυκλωμάτων Εργαστηριακές Ασκήσεις Εργαστήριο 8 Τελεστικός Ενισχυτής Φ. Πλέσσας Βόλος 2015 Σκοπός Σκοπός του εργαστηρίου

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Τελεστικοί Ενισχυτές Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Ο ιδανικός τελεστικός ενισχυτής Είσοδος αντιστροφής Ισοδύναμα Είσοδος μη αντιστροφής A( ) A d 2 1 2 1

Διαβάστε περισσότερα

Εξαρτημένες Πηγές και Τελεστικός Ενισχυτής

Εξαρτημένες Πηγές και Τελεστικός Ενισχυτής Ανάλυση Κυκλωμάτων Εξαρτημένες Πηγές και Τελεστικός Ενισχυτής Φώτης Πλέσσας fplessas@inf.uth.gr Εισαγωγή Οι εξαρτημένες πηγές είναι πολύ ενδιαφέροντα ηλεκτρικά στοιχεία, αφού αποτελούν αναπόσπαστα στοιχεία

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. 1-3 Κέρδος Τάσης του ιαφορικού Ενισχυτή µε FET s 8

ΠΕΡΙΕΧΟΜΕΝΑ. 1-3 Κέρδος Τάσης του ιαφορικού Ενισχυτή µε FET s 8 ΠΕΡΙΕΧΟΜΕΝΑ 1 ΙΑΦΟΡΙΚΟΣ ΕΝΙΣΧΥΤΗΣ 1 1-1 Κέρδος Τάσης του ιαφορικού Ενισχυτή µε BJT s 1 και ιπλή Έξοδο Ανάλυση µε το Υβριδικό Ισοδύναµο του Τρανζίστορ 2 Ανάλυση µε βάση τις Ενισχύσεις των Βαθµίδων CE- 4

Διαβάστε περισσότερα

ΠΕΙΡΑΜΑΤΙΚΗ ΔΙΑΔΙΚΑΣΙΑ

ΠΕΙΡΑΜΑΤΙΚΗ ΔΙΑΔΙΚΑΣΙΑ ΕΙΣΑΓΩΓΗ: Ο τελεστικός ενισχυτής είναι ένα προκατασκευασμένο κύκλωμα μικρών διαστάσεων που συμπεριφέρεται ως ενισχυτής τάσης, και έχει πολύ μεγάλο κέρδος, πολλές φορές της τάξης του 10 4 και 10 6. Ο τελεστικός

Διαβάστε περισσότερα

ΔΙΔΑΣΚΩΝ: Δρ. Στυλιανός Τσίτσος

ΔΙΔΑΣΚΩΝ: Δρ. Στυλιανός Τσίτσος ΤΗΛΕΠΙΚΟΙΝΩΝΙΑΚΑ ΔΙΚΤΥΑ ΥΨΗΛΩΝ ΣΥΧΝΟΤΗΤΩΝ (Θ) Ενότητα 4: Μικροκυματικές Διατάξεις ΔΙΔΑΣΚΩΝ: Δρ. Στυλιανός Τσίτσος ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΤΕ 1 Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) Διεργασίες Μικροηλεκτρονικής Τεχνολογίας, Οξείδωση, Διάχυση, Φωτολιθογραφία, Επιμετάλλωση, Εμφύτευση, Περιγραφή CMOS

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ. Εργαστήριο 8 ο. Αποδιαμόρφωση PAM-PPM με προσαρμοσμένα φίλτρα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ. Εργαστήριο 8 ο. Αποδιαμόρφωση PAM-PPM με προσαρμοσμένα φίλτρα Τμήμα Πληροφορικής και Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΨΗΦΙΑΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ Εργαστήριο 8 ο Αποδιαμόρφωση PAM-PPM με προσαρμοσμένα φίλτρα Βασική Θεωρία Σε ένα σύστημα μετάδοσης

Διαβάστε περισσότερα

4 η ενότητα ΕΝΙΣΧΥΤΕΣ ΠΟΛΛΩΝ ΒΑΘΜΙΔΩΝ

4 η ενότητα ΕΝΙΣΧΥΤΕΣ ΠΟΛΛΩΝ ΒΑΘΜΙΔΩΝ ρ. Λάμπρος Μπισδούνης Καθηγητής 4 η ενότητα ΕΝΙΣΧΥΤΕΣ ΠΟΛΛΩΝ ΒΑΘΜΙΔΩΝ T..I. ΥΤΙΚΗΣ ΕΛΛΑ ΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. Περιεχόμενα 4 ης ενότητας Στην τέταρτη ενότητα θα μελετήσουμε τους ενισχυτές

Διαβάστε περισσότερα

Μελέτη και Προσομοίωση n πομπού για ασύρματη πρόσβαση ΦΟΙΤΗΤΗΣ: ΛΑΖΑΡΙΔΗΣ ΚΩΝΣΤΑΝΤΙΝΟΣ ΕΠΙΒΛΕΠΩΝ ΚΑΘΗΓΗΤΗΣ: ΕΥΣΤΑΘΙΟΥ ΔΗΜΗΤΡΙΟΣ

Μελέτη και Προσομοίωση n πομπού για ασύρματη πρόσβαση ΦΟΙΤΗΤΗΣ: ΛΑΖΑΡΙΔΗΣ ΚΩΝΣΤΑΝΤΙΝΟΣ ΕΠΙΒΛΕΠΩΝ ΚΑΘΗΓΗΤΗΣ: ΕΥΣΤΑΘΙΟΥ ΔΗΜΗΤΡΙΟΣ Μελέτη και Προσομοίωση 802.11n πομπού για ασύρματη πρόσβαση ΦΟΙΤΗΤΗΣ: ΛΑΖΑΡΙΔΗΣ ΚΩΝΣΤΑΝΤΙΝΟΣ ΕΠΙΒΛΕΠΩΝ ΚΑΘΗΓΗΤΗΣ: ΕΥΣΤΑΘΙΟΥ ΔΗΜΗΤΡΙΟΣ A) Προσομοίωση του φάσματος του καναλιού του προτύπου για να φανεί

Διαβάστε περισσότερα

2.9 ΚΥΚΛΩΜΑΤΑ ΠΕΡΙΟΡΙΣΤΩΝ Τρανζίστορ Διπολικής Επαφής (BJT) ΚΕΦΑΛΑΙΟ 3: ΤΡΑΝΖΙΣΤΟΡ ΔΙΠΟΛΙΚΗΣ ΕΠΑΦΗΣ (BJT)...131

2.9 ΚΥΚΛΩΜΑΤΑ ΠΕΡΙΟΡΙΣΤΩΝ Τρανζίστορ Διπολικής Επαφής (BJT) ΚΕΦΑΛΑΙΟ 3: ΤΡΑΝΖΙΣΤΟΡ ΔΙΠΟΛΙΚΗΣ ΕΠΑΦΗΣ (BJT)...131 Περιεχόμενα v ΠΕΡΙΕΧΟΜΕΝΑ ΚΕΦΑΛΑΙΟ 1: ΔΙΟΔΟΙ ΗΜΙΑΓΩΓΩΝ...1 1.1 ΕΙΣΑΓΩΓΗ...1 1.2 ΥΛΙΚΑ ΗΜΙΑΓΩΓΩΝ: Ge, Si ΚΑΙ GaAs...2 1.3 ΟΜΟΙΟΠΟΛΙΚΟΙ ΔΕΣΜΟΙ ΚΑΙ ΕΝΔΟΓΕΝΗ ΥΛΙΚΑ...3 1.4 ΕΝΕΡΓΕΙΑΚΕΣ ΣΤΑΘΜΕΣ...6 1.5 ΕΞΩΓΕΝΗ

Διαβάστε περισσότερα

ΑΣΚΗΣΕΙΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΗΛΕΚΤΡΟΝΙΚΗΣ

ΑΣΚΗΣΕΙΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΑΚΗ ΗΛΕΚΤΡΟΝΙΚΗ 5 ο ΕΞΑΜΗΝΟ ΗΜΜΥ ΑΣΚΗΣΕΙΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΗΛΕΚΤΡΟΝΙΚΗΣ 1 Ι. ΠΑΠΑΝΑΝΟΣ ΑΠΡΙΛΙΟΣ

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Θετικών Επιστημών Τεχνολογίας Τηλεπικοινωνιών Τμήμα Επιστήμης και Τεχνολογίας Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΕΠΙΚΟΙΝΩΝΙΕΣ ΙI Εργαστήριο 5 ο : Προσαρμοσμένα Φίλτρα Βασική

Διαβάστε περισσότερα

Σωστή απάντηση το: Γ. Απάντηση

Σωστή απάντηση το: Γ. Απάντηση Ειδικά Θέματα Ελέγχου Ορθής Λειτουργίας VLSI Συστημάτων - Σχεδιασμός για Εύκολο Έλεγχο Εξετάσεις ΟΣΥΛ & ΕΤΥ 4-7- 2016 Ειδικά Θέματα Σχεδίασης Ψηφιακών Συστημάτων Εξετάσεις μαθήματος επιλογής Τμήματος Μηχανικών

Διαβάστε περισσότερα

Ηλεκτρικά Κυκλώματα & Δίκτυα ΙΙ. Ανασκόπηση Κεφαλαίου «Τελεστικοί Ενισχυτές»

Ηλεκτρικά Κυκλώματα & Δίκτυα ΙΙ. Ανασκόπηση Κεφαλαίου «Τελεστικοί Ενισχυτές» Ηλεκτρικά Κυκλώματα & Δίκτυα ΙΙ Εισαγωγή στα Ολο. Κυκλ. Βασική Φυσική MOS Ενισχυτές ενός σταδίου Διαφορικοί Ενισχυτές Καθρέφτες Ρεύματος Απόκριση Συχνότητας Ηλεκτρικός Θόρυβος Ανατροφοδότηση Σχεδιασμός

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ MM505 ΗΛΕΚΤΡΙΚΕΣ ΜΗΧΑΝΕΣ ΒΙΟΜΗΧΑΝΙΚΟΙ ΑΥΤΟΜΑΤΙΣΜΟΙ Εργαστήριο ο - Θεωρητικό Μέρος Βασικές ηλεκτρικές μετρήσεις σε συνεχές και εναλλασσόμενο

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών) Σχεδιασμός και Προσομοίωση Βασικών Κυκλωμάτων Τεχνολογίας CMOS Με βάση το εργαλείο σχεδιασμού Microwind Σκοπός: η

Διαβάστε περισσότερα

ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ

ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ ΕΠΩΝΥΜΟ ΟΝΟΜΑ Α.Μ. ΤΜΗΜΑ ΗΜΕΡΟΜΗΝΙΑ ΔΙΕΞΑΓΩΓΗΣ:.... /..../ 20.. ΗΜΕΡΟΜΗΝΙΑ ΠΑΡΑΔΟΣΗΣ:.... /..../ 20.. ΤΕΙ ΔΥΤΙΚΗΣ ΕΛΛΑΔΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. Αντικείμενο της εργαστηριακής

Διαβάστε περισσότερα

Κεφάλαιο 1 Εισαγωγή.

Κεφάλαιο 1 Εισαγωγή. Κεφάλαιο 1 Εισαγωγή Αντικείμενο της εργασίας είναι η σχεδίαση και κατασκευή του ηλεκτρονικού τμήματος της διάταξης μέτρησης των θερμοκρασιών σε διάφορα σημεία ενός κινητήρα Ο στόχος είναι η ανάκτηση του

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ ΚΕΦΑΛΑΙΟ

ΚΕΦΑΛΑΙΟ ΚΕΦΑΛΑΙΟ ΘΕΩΡΙΑ Περιεχόμενα 1ο Μέρος ΚΕΦΑΛΑΙΟ 1...9 ΧΑΡΑΚΤΗΡΙΣΤΙΚΑ ΜΕΤΡΗΤΙΚΩΝ ΔΙΑΤΑΞΕΩΝ... 9 1.1 Εισαγωγή... 9 1.2 Ακρίβεια (Αccuracy)... 10 1.2.1 Παράδειγμα... 11 1.2.2 Παράδειγμα... 12 1.3 Σαφήνεια (Precision)...

Διαβάστε περισσότερα

Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ.1) με τα εξής χαρακτηριστικά: R 2.3 k,

Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ.1) με τα εξής χαρακτηριστικά: R 2.3 k, Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ) με τα εξής χαρακτηριστικά: 3 k, 50, k, S k και V 5 α) Nα υπολογιστούν οι τιμές των αντιστάσεων β) Να επιλεγούν οι χωρητικότητες C, CC έτσι ώστε ο ενισχυτής

Διαβάστε περισσότερα

Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF

Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF Κεφάλαιο 6. NA Σωτήριος Ματακιάς, -3, Σχεδίαση Τηλεπικοινωνιακών I Κυκλωμάτων, Κεφάλαιο 5 /3 Βασικές παράμετροι των NA: Receiver Front End Z =5Ω RF Filter - -8dB Z =5Ω

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΤΕΛΕΣΤΙΚΟΥΣ ΕΝΙΣΧΥΤΕΣ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΤΕΛΕΣΤΙΚΟΥΣ ΕΝΙΣΧΥΤΕΣ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΤΕΛΕΣΤΙΚΟΥΣ ΕΝΙΣΧΥΤΕΣ Εισαγωγή Ιστορικά στοιχεία Οι πρώτοι τελεστικοί ενισχυτές χρησιμοποιήθηκαν κυρίως για την εκτέλεση μαθηματικών πράξεων, δηλαδή πρόσθεση, αφαίρεση, ολοκλήρωση και διαφόριση.

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ ΙIΙ Ενότητα 3

ΗΛΕΚΤΡΟΝΙΚΗ ΙIΙ Ενότητα 3 ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΙΚΑ ΜΑΘΗΜΑΤΑ ΗΛΕΚΤΡΟΝΙΚΗ ΙIΙ Ενότητα 3: Κυκλώματα αναφοράς Χατζόπουλος Αλκιβιάδης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχ. Υπολογιστών Άδειες Χρήσης Το

Διαβάστε περισσότερα

Διαφορικοί Ενισχυτές

Διαφορικοί Ενισχυτές Διαφορικοί Ενισχυτές Γενικά: Ο Διαφορικός ενισχυτής (ΔΕ) είναι το βασικό δομικό στοιχείο ενός τελεστικού ενισχυτή. Η λειτουργία ενός ΔΕ είναι η ενίσχυση της διαφοράς μεταξύ δύο σημάτων εισόδου. Τα αρχικά

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 2η. Σημειώσεις μαθήματος: E mail:

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 2η. Σημειώσεις μαθήματος: E mail: Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/ E mail: pasv@teiath.gr 2 1 Όπως

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Στην περίπτωση που έχουμε δυο εισόδους (V 1 και V 2 ) στην είσοδο του τελεστικού ενισχυτή, όπως το παρακάτω σχήμα :

Στην περίπτωση που έχουμε δυο εισόδους (V 1 και V 2 ) στην είσοδο του τελεστικού ενισχυτή, όπως το παρακάτω σχήμα : ΑΣΚΗΣΗ η ΕΛΕΓΧΟΣ ΤΑΧΥΤΗΤΑΣ ΣΕΡΒΟΚΙΝΗΤΗΡΑ DC ΜΕ ΜΟΝΙΜΟ ΜΑΓΝΗΤΗ ΕΠΙΔΡΑΣΗ ΤΟΥ ΚΕΡΔΟΥΣ ΣΤΟΝ ΕΛΕΓΧΟ ΤΑΧΥΤΗΤΑΣ Α. ΕΝΑΛΛΑΚΤΙΚΗ ΥΛΟΠΟΙΗΣΗ ΤΕΛΕΣΤΙΚΟΥ ΕΝΙΣΧΥΤΗ Σε προηγούμενη άσκηση εξετάσαμε την λειτουργία του

Διαβάστε περισσότερα

Σχήµα Π1.1: Η γεννήτρια κρουστικών ρευµάτων EMC 2004 της HILO TEST

Σχήµα Π1.1: Η γεννήτρια κρουστικών ρευµάτων EMC 2004 της HILO TEST Παράρτηµα 1 ΠΕΙΡΑΜΑΤΑ ΥΠΟ ΚΛΙΜΑΚΑ Π1.1 Γεννήτρια κρουστικών ρευµάτων Για τη δηµιουργία του κρουστικού ρεύµατος χρησιµοποιήθηκε η γεννήτρια EMC 2004 της HILO TEST (1500Joule), µε δυνατότητα η τιµή της κορυφής

Διαβάστε περισσότερα

ΕΝΙΣΧΥΤΗΣ ΚΟΙΝΟΥ ΕΚΠΟΜΠΟΥ ΠΕΙΡΑΜΑ 4

ΕΝΙΣΧΥΤΗΣ ΚΟΙΝΟΥ ΕΚΠΟΜΠΟΥ ΠΕΙΡΑΜΑ 4 Εφόσον το τρανζίστορ ενός ενισχυτή κοινού εκπομπού πολωθεί με το σημείο Q να βρίσκεται κοντά στο μέσο της DC γραμμής φορτίου, μπορεί να συνδεθεί ένα μικρό ac σήμα στη βάση. Με αυτόν τον τρόπο, παράγεται

Διαβάστε περισσότερα

Ηλεκτρονική ΙIΙ. 6 ο εξάμηνο

Ηλεκτρονική ΙIΙ. 6 ο εξάμηνο Ηλεκτρονική ΙIΙ 6 ο εξάμηνο 1. Σχεδίαση τελεστικών ενισχυτών 2. Κυκλώματα ανόρθωσης - δίοδοι zener 3. Κυκλώματα αναφοράς 4. Ενισχυτές ισχύος 5. Ηλεκτρονικά ελέγχου ισχύος 1/38 1 Πηγή ρεύματος Widlar με

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΟΛΟΚΛΗΡΩΜΕΝΩΝ ΚΥΚΛΩΜΑΤΩΝ ΚΑΙ ΣΥΣΤΗΜΑΤΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΑΣΚΗΣΗ 1η: ΜΕΛΕΤΗ ΤΟΥ MOSFET Σκοπός της άσκησης Στην άσκηση αυτή θα μελετήσουμε το τρανζίστορ τύπου MOSFET και τη λειτουργία

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ Α. Θεωρητικό Μέρος MM205 ΗΛΕΚΤΡΟΤΕΧΝΙΑ ΗΛΕΚΤΡΙΚΕΣ ΕΓΚΑΤΑΣΤΑΣΕΙΣ Εργαστήριο 1 ο Όργανα μέτρησης ηλεκτρικών μεγεθών Μετρήσεις στο συνεχές ρεύμα

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Θετικών Επιστημών Τεχνολογίας Τηλεπικοινωνιών Τμήμα Επιστήμης και Τεχνολογίας Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΕΠΙΚΟΙΝΩΝΙΕΣ ΙI Εργαστήριο 8 ο : Προσαρμοσμένα Φίλτρα Βασική

Διαβάστε περισσότερα

5 η ενότητα ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΣΤΟΥΣ ΕΝΙΣΧΥΤΕΣ

5 η ενότητα ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΣΤΟΥΣ ΕΝΙΣΧΥΤΕΣ ρ. Λάμπρος Μπισδούνης Καθηγητής 5 η ενότητα ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΣΤΟΥΣ ΕΝΙΣΧΥΤΕΣ T.E.I. ΔΥΤΙΚΗΣ ΕΛΛΑΔΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. 1 Περιεχόμενα 5 ης ενότητας Στην πέμπτη ενότητα θα μελετήσουμε την ανατροφοδότηση

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΘΕΩΡΙΑ Οι ασκήσεις 3 και 4 αφορούν τον αντιστροφέα CMOS, ο οποίος είναι η απλούστερη αλ α ταυτόχρονα και σημαντικότερη πύλη για την κατανόηση της λειτουργίας των Ολοκληρωμένων

Διαβάστε περισσότερα

Σφάλματα Είδη σφαλμάτων

Σφάλματα Είδη σφαλμάτων Σφάλματα Σφάλματα Κάθε μέτρηση ενός φυσικού μεγέθους χαρακτηρίζεται από μία αβεβαιότητα που ονομάζουμε σφάλμα, το οποίο αναγράφεται με τη μορφή Τιμή ± αβεβαιότητα π.χ έστω ότι σε ένα πείραμα μετράμε την

Διαβάστε περισσότερα

Συλλογή μεταφορά και έλεγχος Δεδομένων ΘΟΡΥΒΟΣ - ΓΕΙΩΣΕΙΣ

Συλλογή μεταφορά και έλεγχος Δεδομένων ΘΟΡΥΒΟΣ - ΓΕΙΩΣΕΙΣ Συλλογή μεταφορά και έλεγχος Δεδομένων ΘΟΡΥΒΟΣ - ΓΕΙΩΣΕΙΣ ΘΟΡΥΒΟΣ - ΓΕΙΩΣΕΙΣ Σε ένα ηλεκτρικό κύκλωμα δημιουργούνται ανεπιθύμητα ηλεκτρικά σήματα, που οφείλεται σε διάφορους παράγοντες, καθώς επίσης και

Διαβάστε περισσότερα

ΕΚΠΑΙΔΕΥΤΙΚΟ ΕΠΟΠΤΙΚΟ ΥΛΙΚΟ

ΕΚΠΑΙΔΕΥΤΙΚΟ ΕΠΟΠΤΙΚΟ ΥΛΙΚΟ ΤΕΙ ΣΤΕΡΕΑΣ ΕΛΛΑΔΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΩΝ ΜΗΧΑΝΙΚΩΝ ΤΕ ΕΚΠΑΙΔΕΥΤΙΚΟ ΕΠΟΠΤΙΚΟ ΥΛΙΚΟ ΗΛΕΚΤΡΟΝΙΚΕΣ ΗΛΕΚΤΡΙΚΕΣ ΜΕΤΡΗΣΕΙΣ Χ. ΤΣΩΝΟΣ ΛΑΜΙΑ 2013 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

Διαβάστε περισσότερα

ΚΥΚΛΩΜΑΤΑ AC-DC. ΚΕΦΑΛΑΙΟ 1ο ΒΑΣΙΚΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΕΞΑΡΤΗΜΑΤΑ - ΑΠΛΑ ΓΡΑΜΜΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΚΥΚΛΩΜΑΤΑ AC-DC. ΚΕΦΑΛΑΙΟ 1ο ΒΑΣΙΚΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΕΞΑΡΤΗΜΑΤΑ - ΑΠΛΑ ΓΡΑΜΜΙΚΑ ΚΥΚΛΩΜΑΤΑ ΚΥΚΛΩΜΑΤΑ AC-DC ΚΕΦΑΛΑΙΟ 1ο ΒΑΣΙΚΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΕΞΑΡΤΗΜΑΤΑ - ΑΠΛΑ ΓΡΑΜΜΙΚΑ ΚΥΚΛΩΜΑΤΑ Βασικά στοιχεία κυκλωμάτων Ένα ηλεκτρονικό κύκλωμα αποτελείται από: Πηγή ενέργειας (τάσης ή ρεύματος) Αγωγούς Μονωτές

Διαβάστε περισσότερα

Εισαγωγή στους Ταλαντωτές Οι ταλαντωτές είναι από τα βασικότερα κυκλώματα στα ηλεκτρονικά. Χρησιμοποιούνται κατά κόρον στα τηλεπικοινωνιακά συστήματα

Εισαγωγή στους Ταλαντωτές Οι ταλαντωτές είναι από τα βασικότερα κυκλώματα στα ηλεκτρονικά. Χρησιμοποιούνται κατά κόρον στα τηλεπικοινωνιακά συστήματα Πανεπιστήμιο Θεσσαλίας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Υλοποίηση και Εργαστηριακή Αναφορά Ring και Hartley Ταλαντωτών Φοιτητής: Ζωγραφόπουλος Γιάννης Επιβλέπων Καθηγητής: Πλέσσας Φώτιος

Διαβάστε περισσότερα

Κεφάλαιο 11. Κυκλώματα Χρονισμού

Κεφάλαιο 11. Κυκλώματα Χρονισμού Κεφάλαιο 11. Κυκλώματα Χρονισμού Σύνοψη Στο κεφάλαιο αυτό αναλύεται η λειτουργία των κυκλωμάτων χρονισμού. Τα κυκλώματα αυτά παρουσιάζουν πολύ μεγάλο πρακτικό ενδιαφέρον και απαιτείται να λειτουργούν με

Διαβάστε περισσότερα

7. ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ

7. ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ ΤΕΙ ΔΥΤΙΚΗΣ ΕΛΛΑΔΑΣ ΣΤΟΧΟΙ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ. Ε. ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΙΙ 7. ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ η κατανόηση της λειτουργίας του τελεστικού ενισχυτή, Ημερομηνία:.... /.... /...... Τμήμα:....

Διαβάστε περισσότερα

4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER

4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER 4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER Σκοπός του κεφαλαίου είναι να παρουσιάσει μερικές εφαρμογές του Μετασχηματισμού Fourier (ΜF). Ειδικότερα στο κεφάλαιο αυτό θα περιγραφούν έμμεσοι τρόποι

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Ι Ο ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Ι Ο ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ Εργαστήριο Τεχνολογίας Υλικού & Αρχιτεκτονικής Υπολογιστών ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Ι Ο ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ 1.1 Τελεστικοί ενισχυτές 1.1.1 Εισαγωγή: Αντικείµενο της εργαστηριακής

Διαβάστε περισσότερα

4. Ποιο από τα παρακάτω δεν ισχύει για την ευαισθησία ενός δέκτη ΑΜ; Α. Ευαισθησία ενός δέκτη καθορίζεται από την στάθμη θορύβου στην είσοδό του.

4. Ποιο από τα παρακάτω δεν ισχύει για την ευαισθησία ενός δέκτη ΑΜ; Α. Ευαισθησία ενός δέκτη καθορίζεται από την στάθμη θορύβου στην είσοδό του. Τηλεπικοινωνικακά Συστήματα Ι - Ενδεικτικές Ερωτήσεις Ασκήσεις Δ.Ευσταθίου Τμήμα Μηχανικών Πληροφορικής ΤΕ, ΤΕΙ Κεντρικής Μακεδονίας 1) 1. Ποιο από τα παρακάτω δεν ισχύει για το χρονικό διάστημα που μηδενίζεται

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Προαιρετική εργασία

Ψηφιακά Ηλεκτρονικά. Προαιρετική εργασία Τ.Ε.Ι. ΑΘΗΝΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΒΙΟΙΑΤΡΙΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ Ψηφιακά Ηλεκτρονικά Προαιρετική εργασία «Κατασκευή δυαδικού απαριθμητή με δεκαδική απεικόνιση δεκάδων και μονάδων» Συνυπεύθυνος

Διαβάστε περισσότερα

Δοκιμαστικό μοτίβο ευρείας οθόνης (16:9)

Δοκιμαστικό μοτίβο ευρείας οθόνης (16:9) Δοκιμαστικό μοτίβο ευρείας οθόνης (16:9) Δοκιμή αναλογιών εικόνας (Πρέπει να εμφανίζεται κυκλικό) 4x3 16x9 Α.Τ.Ε.Ι. ΠΕΙΡΑΙΑ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. Πτυχιακή εργασία

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Ενισχυτές Μετρήσεων. 3.1 Ο διαφορικός Ενισχυτής

Ενισχυτές Μετρήσεων. 3.1 Ο διαφορικός Ενισχυτής 3 Ενισχυτές Μετρήσεων 3.1 Ο διαφορικός Ενισχυτής Πολλές φορές ένας ενισχυτής σχεδιάζεται ώστε να αποκρίνεται στη διαφορά µεταξύ δύο σηµάτων εισόδου. Ένας τέτοιος ενισχυτής ονοµάζεται ενισχυτής διαφοράς

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΣΥΣΤΗΜΑΤΩΝ ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΣΑΕ ΙΙ. Αισθητήρια θερμοκρασίας Εισαγωγή

ΕΡΓΑΣΤΗΡΙΟ ΣΥΣΤΗΜΑΤΩΝ ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΣΑΕ ΙΙ. Αισθητήρια θερμοκρασίας Εισαγωγή ΕΡΓΑΣΤΗΡΙΟ ΣΥΣΤΗΜΑΤΩΝ ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΣΑΕ ΙΙ Εργαστηριακή Άσκηση 1 Αισθητήρια θερμοκρασίας Εισαγωγή Η μέτρηση της θερμοκρασίας είναι μια σημαντική ασχολία για τους μηχανικούς παραγωγής γιατί είναι, συνήθως,

Διαβάστε περισσότερα

ΗΛΕΚΤΡΟΝΙΚΗ IΙ Ενότητα 7

ΗΛΕΚΤΡΟΝΙΚΗ IΙ Ενότητα 7 ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΙΚΑ ΜΑΘΗΜΑΤΑ ΗΛΕΚΤΡΟΝΙΚΗ IΙ Ενότητα 7: Τελεστικός ενισχυτής Χατζόπουλος Αλκιβιάδης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχ. Υπολογιστών Άδειες Χρήσης

Διαβάστε περισσότερα

Το διπολικό τρανζίστορ

Το διπολικό τρανζίστορ 2 4 η ΕΝΟΤΗΤΑ Το διπολικό τρανζίστορ 11 ο 12 ο 13 ο 14 ο Εργαστήριο ΦΥΛΛΑ ΕΡΓΑΣΙΑΣ 3 Άσκηση 11 η. 11.1 Στατικές χαρακτηριστικές κοινού εκπομπού του διπολικού τρανζίστορ. Στόχος: Μελέτη και χάραξη των χαρακτηριστικών

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ΜΑΘΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΑΡΑΓΩΓΗΣ ΚΑΙ ΔΙΟΙΚΗΣΗΣ

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ΜΑΘΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΑΡΑΓΩΓΗΣ ΚΑΙ ΔΙΟΙΚΗΣΗΣ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ΜΑΘΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΑΡΑΓΩΓΗΣ ΚΑΙ ΔΙΟΙΚΗΣΗΣ Σκοπός : 1. Γνωριμία με το τρανζίστορ. Μελέτη πόλωσης του τρανζίστορ και ευθεία φορτίου. 2. Μελέτη τρανζίστορ σε λειτουργία

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε λογικά δίκτυα πολλών σταδίων

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε λογικά δίκτυα πολλών σταδίων Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής Τ.Ε.

Διαβάστε περισσότερα

περιεχομενα Πρόλογος vii

περιεχομενα Πρόλογος vii Πρόλογος vii περιεχομενα ΜΕΡΟΣ ΠΡΩΤΟ: Κυκλώματα Συνεχούς Ρεύματος... 2 ΚΕΦΑΛΑΙΟ 1: ΒΑΣΙΚΕΣ ΕΝΝΟΙΕΣ... 3 1.1 Εισαγωγή...4 1.2 Συστήματα και Μονάδες...5 1.3 Φορτίο και Ρεύμα...6 1.4 Δυναμικό...9 1.5 Ισχύς

Διαβάστε περισσότερα

1. ΤΕΛΕΣΤΙΚΟΙ ΕΝΙΣΧΥΤΕΣ

1. ΤΕΛΕΣΤΙΚΟΙ ΕΝΙΣΧΥΤΕΣ 1. ΤΕΛΕΣΤΙΚΟΙ ΕΝΙΣΧΥΤΕΣ Ο τελεστικός ενισχυτής αποτελεί την βασική δομική μονάδα των περισσοτέρων αναλογικών κυκλωμάτων. Στην ενότητα αυτή θα μελετήσουμε τις ιδιότητες του τελεστικού ενισχυτή, μερικά βασικά

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 Μέτρηση ωμικής αντίστασης και χαρακτηριστικής καμπύλης διόδου

ΑΣΚΗΣΗ 7 Μέτρηση ωμικής αντίστασης και χαρακτηριστικής καμπύλης διόδου Απαραίτητα όργανα και υλικά ΑΣΚΗΣΗ 7 Μέτρηση ωμικής αντίστασης και χαρακτηριστικής καμπύλης διόδου 7. Απαραίτητα όργανα και υλικά. Τροφοδοτικό DC.. Πολύμετρα (αμπερόμετρο, βολτόμετρο).. Πλακέτα για την

Διαβάστε περισσότερα

1993 (Saunders College 1991). P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 4th ed.

1993 (Saunders College 1991). P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 4th ed. Πανεπιστήμιο Θεσσαλίας ΗΥ430: Εργαστήριο Αναλογικών Κυκλωμάτων Άνοιξη 2005 Εργαστηριακές Ασκήσεις Περιεχόμενα 1 Διπολικό και MOS τρανσίστορ................................... 2 2 Ενισχυτές με διπολικά

Διαβάστε περισσότερα

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας 2 η διάλεξη 25 Σεπτεμβρίου Πραγματικά τρανζίστορ Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Η τάση στο gate του τρανζίστορ

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΗΣ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΗΣ ΔΙΟΔΟΣ (Μάθημα 4 ο 5 ο 6 ο 7 ο ) 1/12 4 o εργαστήριο Ιδανική δίοδος n Συμβολισμός της διόδου n 2/12 4 o εργαστήριο Στατική χαρακτηριστική διόδου Άνοδος (+) Κάθοδος () Αν στην ιδανική

Διαβάστε περισσότερα

Εισαγωγή. Κατηγοριοποίηση αισθητήρων. Χαρακτηριστικά αισθητήρων. Κυκλώματα διασύνδεσης αισθητήρων

Εισαγωγή. Κατηγοριοποίηση αισθητήρων. Χαρακτηριστικά αισθητήρων. Κυκλώματα διασύνδεσης αισθητήρων Εισαγωγή Κατηγοριοποίηση αισθητήρων Χαρακτηριστικά αισθητήρων Κυκλώματα διασύνδεσης αισθητήρων 1 2 Πωλήσεις αισθητήρων 3 4 Ο άνθρωπος αντιλαμβάνεται τη φύση με τα αισθητήρια όργανά του υποκειμενική αντίληψη

Διαβάστε περισσότερα

ΤΙ ΕΙΝΑΙ Η ΗΛΕΚΤΡΟΝΙΚΗ;

ΤΙ ΕΙΝΑΙ Η ΗΛΕΚΤΡΟΝΙΚΗ; ΤΙ ΕΙΝΑΙ Η ΗΛΕΚΤΡΟΝΙΚΗ; Ηλεκτρονικοί Υπολογιστές Κινητά τηλέφωνα Τηλεπικοινωνίες Δίκτυα Ο κόσμος της Ηλεκτρονικής Ιατρική Ενέργεια Βιομηχανία Διασκέδαση ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΗΛΕΚΤΡΟΝΙΚΗ Τι περιέχουν οι ηλεκτρονικές

Διαβάστε περισσότερα

Χαρακτηρισμός και μοντέλα τρανζίστορ λεπτών υμενίων βιομηχανικής παραγωγής: Τεχνολογία μικροκρυσταλλικού πυριτίου χαμηλής θερμοκρασίας

Χαρακτηρισμός και μοντέλα τρανζίστορ λεπτών υμενίων βιομηχανικής παραγωγής: Τεχνολογία μικροκρυσταλλικού πυριτίου χαμηλής θερμοκρασίας Χαρακτηρισμός και μοντέλα τρανζίστορ λεπτών υμενίων βιομηχανικής παραγωγής: Τεχνολογία μικροκρυσταλλικού πυριτίου χαμηλής θερμοκρασίας Υποψήφιος Διδάκτορας: Α. Χατζόπουλος Περίληψη Οι τελευταίες εξελίξεις

Διαβάστε περισσότερα

Κεφάλαιο 3. Λογικές Πύλες

Κεφάλαιο 3. Λογικές Πύλες Κεφάλαιο 3 Λογικές Πύλες 3.1 Βασικές λογικές πύλες Τα ηλεκτρονικά κυκλώματα που εκτελούν τις βασικές πράξεις της Άλγεβρας Boole καλούνται λογικές πύλες.κάθε τέτοια πύλη δέχεται στην είσοδό της σήματα με

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα

Άσκηση 10 Στοιχεία ηλεκτρονικής τεχνολογίας

Άσκηση 10 Στοιχεία ηλεκτρονικής τεχνολογίας Άσκηση 10 Στοιχεία ηλεκτρονικής τεχνολογίας ΔΙΟΔΟΣ Οι περισσότερες ηλεκτρονικές συσκευές όπως οι τηλεοράσεις, τα στερεοφωνικά συγκροτήματα και οι υπολογιστές χρειάζονται τάση dc για να λειτουργήσουν σωστά.

Διαβάστε περισσότερα

Τεχνολογικό Εκπαιδευτικό Ίδρυμα Σερρών Τμήμα Πληροφορικής & Επικοινωνιών Επικοινωνίες I

Τεχνολογικό Εκπαιδευτικό Ίδρυμα Σερρών Τμήμα Πληροφορικής & Επικοινωνιών Επικοινωνίες I Τεχνολογικό Εκπαιδευτικό Ίδρυμα Σερρών Τμήμα Πληροφορικής & Επικοινωνιών Επικοινωνίες I Δημήτρης Ευσταθίου Επίκουρος Καθηγητής ΘΟΡΥΒΟΣ ΣΕ ΔΕΚΤΕΣ ΛΟΓΟΣ ΣΗΜΑΤΟΣ ΠΡΟΣ ΘΟΡΥΒΟ (SIGAL TO OISE RATIO, ) - ΒΑΣΙΚΟ

Διαβάστε περισσότερα

Κινητήρας παράλληλης διέγερσης

Κινητήρας παράλληλης διέγερσης Κινητήρας παράλληλης διέγερσης Ισοδύναμο κύκλωμα V = E + I T V = I I T = I F L R F I F R Η διέγερση τοποθετείται παράλληλα με το κύκλωμα οπλισμού Χαρακτηριστική φορτίου Έλεγχος ταχύτητας Μεταβολή τάσης

Διαβάστε περισσότερα

Ενισχυτής κοινής πηγής (common source amplifier)

Ενισχυτής κοινής πηγής (common source amplifier) Εισαγωγή στην Ηλεκτρονική Βασικά κυκλώµατα ενισχυτών µε transstr MOS Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Transstr ως ενισχυτής Ενισχυτής κοινής πηγής (cmmn surce amplfer (κύκλωµα αντιστροφέα

Διαβάστε περισσότερα

Ενισχυτές με Ανασύζευξη-Ανάδραση

Ενισχυτές με Ανασύζευξη-Ανάδραση Ενισχυτές με ΑνασύζευξηΑνάδραση Ανασύζευξη ή Ανάδραση είναι το φαινόμενο εκείνο στο οποίο μέρος του σήματος εξόδου επιστρέφεται στην είσοδο του ενισχυτή Υπάρχουν : Αρνητική Ανάδραση έχουμε όταν μέρος του

Διαβάστε περισσότερα

Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF

Εισαγωγή στη Σχεδίαση Κυκλωμάτων RF Εισαγωγή στη Σχεδίαση Κυκλωμάτων Κεφάλαιο 6.2 Mixers /25 Βασικές Παράμετροι Μικτών Mixer Βασικές παράμετροι των μικτών: Z =5Ω Band Selecion Filer - -8dBm Z =5Ω Receiver Fron End LNA A 5dB Z =5Ω Image Rejec

Διαβάστε περισσότερα

σφαλμάτων Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών

σφαλμάτων Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Λογική εξομοίωση και εξομοίωση σφαλμάτων Περίγραμμα ργρ Επιβεβαίωση σχεδιασμού και εξομοίωση (Verification and Simulation) Είδη εξομοίωσης (Types of Simulation) Εξομοίωση σφαλμάτων (Fault Simulation) Σειριακή

Διαβάστε περισσότερα

Εισαγωγή στις Ηλεκτρικές Μετρήσεις

Εισαγωγή στις Ηλεκτρικές Μετρήσεις Εισαγωγή στις Ηλεκτρικές Μετρήσεις Σφάλματα Μετρήσεων Συμβατικά όργανα μετρήσεων Χαρακτηριστικά μεγέθη οργάνων Παλμογράφος Λέκτορας Σοφία Τσεκερίδου 1 Σφάλματα μετρήσεων Επιτυχημένη μέτρηση Σωστή εκλογή

Διαβάστε περισσότερα

Συλλογή μεταφορά και έλεγχος Δεδομένων ΕΛΕΓΧΟΣ ΦΩΤΙΣΜΟΥ

Συλλογή μεταφορά και έλεγχος Δεδομένων ΕΛΕΓΧΟΣ ΦΩΤΙΣΜΟΥ Συλλογή μεταφορά και έλεγχος Δεδομένων ΕΛΕΓΧΟΣ ΦΩΤΙΣΜΟΥ Αισθητήρια φωτός Οι φωτοανιχνευτές (light detectors) διαιρούνται σε δύο κατηγορίες: τους κβαντικούς (quantum) και τους θερμικούς (thermal), ανάλογα

Διαβάστε περισσότερα

ΘΕΜΑ 1 ο (3 μονάδες):

ΘΕΜΑ 1 ο (3 μονάδες): ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ 9/0/00 ΘΕΜΑ ο ( μονάδες): Για τον ενισχυτή του παρακάτω σχήματος δίνονται: 0, 0.7, kω, 0 kω, Ε kω, L kω, β fe 00, e kω. (α) Να προσδιορίσετε τις τιμές των αντιστάσεων,

Διαβάστε περισσότερα

Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ

Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ Έκδοση 4 η 4 Στη Χαρά τον Νίκο και τον Λευτέρη 5 6 ΠΕΡΙΕΧΟΜΕΝΑ ΠΡΟΛΟΓΟΣ 15 ΚΕΦΑΛΑΙΟ 1 ΕΣΩΤΕΡΙΚΗ ΔΟΜΗ ΤΟΥ ΤΕΛΕΣΤΙΚΟΥ ΕΝΙΣΧΥΤΗ 1.1. ΕΙΣΑΓΩΓΗ 19 1.2. Ο

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΊΔΡΥΜΑ ΑΘΗΝΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΒΙΟΪΑΤΡΙΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΊΔΡΥΜΑ ΑΘΗΝΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΒΙΟΪΑΤΡΙΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΊΔΡΥΜΑ ΑΘΗΝΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΒΙΟΪΑΤΡΙΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ ΗΛΕΚΤΡΙΚΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΜΕΤΡΗΣΕΙΣ ΣΤΗ ΒΙΟΪΑΤΡΙΚΗ ΤΕΧΝΟΛΟΓΙΑ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 6: ΠΑΡΑΛΛΗΛΗ

Διαβάστε περισσότερα

Οι βασικές βαθμίδες του συστήματος των δορυφορικών επικοινωνιών δίνονται στο παρακάτω σχήμα :

Οι βασικές βαθμίδες του συστήματος των δορυφορικών επικοινωνιών δίνονται στο παρακάτω σχήμα : Εισαγωγικά Τα δορυφορικά δίκτυα επικοινωνίας αποτελούν ένα σημαντικό τμήμα των σύγχρονων τηλεπικοινωνιακών συστημάτων. Οι δορυφόροι παρέχουν τη δυνατότητα κάλυψης μεγάλων γεωγραφικών περιοχών. Η δυνατότητα

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΣΥΣΤΗΜΑΤΩΝ & ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ Διδάσκων : Δημήτρης Τσιπιανίτης Γεώργιος Μανδέλλος

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1 ΜΟΝΟΦΑΣΙΚΟΣ ΜΕΤΑΣΧΗΜΑΤΙΣΤΗΣ

ΑΣΚΗΣΗ 1 ΜΟΝΟΦΑΣΙΚΟΣ ΜΕΤΑΣΧΗΜΑΤΙΣΤΗΣ ΑΣΚΗΣΗ 1 ΜΟΝΟΦΑΣΙΚΟΣ ΜΕΤΑΣΧΗΜΑΤΙΣΤΗΣ Α.1 ΘΕΩΡΗΤΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΜΟΝΟΦΑΣΙΚΟ ΜΕΤΑΣΧΗΜΑΤΙΣΤΗ Ο μετασχηματιστής είναι μια ηλεκτρική διάταξη που μετατρέπει εναλλασσόμενη ηλεκτρική ενέργεια ενός επιπέδου τάσης

Διαβάστε περισσότερα

Εξαγωγή Διανυσμάτων Δοκιμής. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών

Εξαγωγή Διανυσμάτων Δοκιμής. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Εξαγωγή Διανυσμάτων Δοκιμής Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Περίγραμμα ργρ Παρουσίασης Είδη Συνόλων Δοκιμής Ντετερμινιστικά σύνολα δοκιμής Συμβολισμοί

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΣΥΣΤΗΜΑΤΩΝ & ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ Διδάσκων : Δημήτρης Τσιπιανίτης Γεώργιος Μανδέλλος

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 2 η :

Διαβάστε περισσότερα

NETCOM S.A. ΨΗΦΙΑΚΟΣ ΕΛΕΓΧΟΣ ΠΑΛΜΟΜΕΤΑΤΡΟΠΕΩΝ DIGITAL CONTROL OF SWITCHING POWER CONVERTERS

NETCOM S.A. ΨΗΦΙΑΚΟΣ ΕΛΕΓΧΟΣ ΠΑΛΜΟΜΕΤΑΤΡΟΠΕΩΝ DIGITAL CONTROL OF SWITCHING POWER CONVERTERS NETCOM S.A. ΨΗΦΙΑΚΟΣ ΕΛΕΓΧΟΣ ΠΑΛΜΟΜΕΤΑΤΡΟΠΕΩΝ DIGITAL CONTROL OF SWITCHING POWER CONVERTERS Αρχή λειτουργίας των Αναλογικών και ψηφιακών Παλμομετατροπεων Ο παλμός οδήγησης ενός παλμομετατροπέα, με αναλογική

Διαβάστε περισσότερα

HMY 220: Σήματα και Συστήματα Ι

HMY 220: Σήματα και Συστήματα Ι HMY 220: Σήματα και Συστήματα Ι Διδάσκων: Γεώργιος Μήτσης, Λέκτορας, Τμήμα ΗΜΜΥ Γραφείο: 401 Πράσινο Άλσος Ώρες γραφείου: Οποτεδήποτε (κατόπιν επικοινωνίας) Ηλ. Ταχ.: : gmitsis@ucy.ac.cy Ιωάννης Τζιώρτζης

Διαβάστε περισσότερα