Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη

Σχετικά έγγραφα
Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής

Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής

Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες

Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153)

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

Εισαγωγή στο Εργαστήριο Υλικού

ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

4.1 Θεωρητική εισαγωγή

Καταχωρητές και Μετρητές

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Πανεπιστήµιο Θεσσαλίας

Οδηγίες εγκατάστασης και χρήσης του Quartus

ΑΣΚΗΣΗ 1 ΛΟΓΙΚΕΣ ΠΥΛΕΣ (Α)

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Εγχειρίδιο: Περιεχόμενα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

6.1 Θεωρητική εισαγωγή

Εφαρμογές Ψηφιακών Ηλεκτρονικών

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017)

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εργαστήριο Κυκλωμάτων και Μετρήσεων

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΠΛΗΡΟΦΟΡΙΚΗ Ι Εργαστήριο 1 MATLAB ΠΛΗΡΟΦΟΡΙΚΗ Ι ΕΡΓΑΣΤΗΡΙΟ 1. Θέμα εργαστηρίου: Εισαγωγή στο MATLAB και στο Octave

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

ΑΣΚΗΣΗ 7 ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ 7 ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCODERS )

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ "ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ" (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7

Εγκατάσταση του εκτυπωτή από το CD λογισμικού και τεκμηρίωσης

Διδάσκoντες: Γιώργος Ζάγγουλος και Λάζαρος Ζαχαρία. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Ενσωματωμένα Συστήματα

Κανόνες του Εργαστηρίου Ψηφιακών Συστημάτων Βαθμολογία του Εργαστηρίου Υλικά και εξοπλισμός που θα χρησιμοποιηθούν σωστός τρόπος χειρισμού τους και

Καταχωρητές και Μετρητές

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ρομολογητής WiFi N300 (N300R)

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ ΨΗΦΙΑΚΗΣ ΣΧΕ ΙΑΣΗΣ. Στόχοι

Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Δυαδικό Σύστημα Αρίθμησης

Δρομολογητής WiFi N150 (N150R)

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων.

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Εργαστηριακές Ασκήσεις

Βασικές οδηγίες εγκατάστασης και χρήσης. Σύστημα περιπολίας GMS Αισθητήρας ανάγνωσης επιτρεπόμενη απόσταση από το σημείο ελέγχου 3-5cm.

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX)

Boot Camp Οδηγός εγκατάστασης και διαμόρφωσης

Internet 1. Ρυθµίσεις ικτύου Η MID διαθέτει ενσωµατωµένο Wi-Fi module. Κάντε κλικ στο, στο µενού ρυθµίσεων θα εµφανιστεί στο MID.

Περιεχόμενα συσκευασίας

Εργαστήριο Ψηφιακής Σχεδίασης

Ο ΗΓΙΕΣ ΧΡΗΣΗΣ ΤΟΥ ΕΡΓΑΛΕΙΟΥ ΙΑΧΕΙΡΙΣΗΣ ΠΡΟΣΩΠΙΚΟΥ ΧΩΡΟΥ ΤΗΣ ALTEC SOFTWARE

1. Το ηλεκτρικό ρεύμα και τα ηλεκτρικά κυκλώματα

Εγχειρίδιο Χρήσης Μετατροπέα Τάσης / Φορτιστή Συσσωρευτών

5.1 Θεωρητική εισαγωγή

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ

ΕΓΧΕΙΡΙΔΙΟ ΟΔΗΓΙΩΝ. Πρόγραμμα Διαχείρισης Α.Π.Δ.

Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE

1. Περιεχόμενα συσκευασίας. 2. Γενική επισκόπηση της συσκευής. Τα πρώτα βήματα. ΟΔΗΓΟΣ ΓΙΑ ΣΥΝΤΟΜΗ ΕΝΑΡΞΗ ΧΡΗΣΗΣ Prestigio Nobile PER3162B

Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps

Εγκατάσταση. Σημείο ασύρματης πρόσβασης NETGEAR ac WAC120. Περιεχόμενα συσκευασίας. NETGEAR, Inc. 350 East Plumeria Drive San Jose, CA USA

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΗΛΕΚΤΡΙΚΩΝ

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Εγχειρίδιο Χρήσης V3.0

Android Studio για Windows

1. Περιεχόμενα συσκευασίας. 2. Γενική επισκόπηση της συσκευής. Τα πρώτα βήματα. 1. Κύρια μονάδα ανάγνωσης

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Βήμα 1: Γενικά στοιχεία της εργασίας και υπεύθυνος επικοινωνίας

CADENCE. User Manual

ΒΑΣΙΚΑ ΜΑΘΗΜΑΤΑ ΝΤΟΥΛΑΚΗΣ - ΜΕΝΙΟΥΔΑΚΗΣ ΕΠΑ.Λ ΕΛ. ΒΕΝΙΖΕΛΟΥ

ΦΥΛΛΟ ΕΡΓΑΣΙΑΣ: Εντολές κίνησης και στροφής στο προγραμματιστικό περιβάλλον Scratch. ΟΝΟΜΑΤΕΠΩΝΥΜΟ:

ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού

Δραστηριότητα 3: Ρυθμίσεις Oικιακής Ομάδας Τοπικού Δικτύου Η/Υ σε WINDOWS 7 & 8

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

1.1 Θεωρητική εισαγωγή

Transcript:

ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ 3 Μέρος Α (Ι-V, προηγούμενο εργαστήριο λογισμικού) Βεβαιωθείτε ότι οι υπεύθυνοι του εργαστηρίου έχουν πρώτα εγκρίνει τους σχεδιασμούς σας από την προηγούμενη εβδομάδα (διαγράμματα και προσομοιώσεις) πριν προχωρήσετε στην υλοποίηση. Όλα τα κομμάτια του Μέρους Α ΠΡΕΠΕΙ να συμπληρωθούν πριν την έναρξη αυτού του εργαστηρίου, για να μπορείτε να προχωρήσετε στο Μέρος Β.Ι. Μέρος Β.Ι. Ο σκοπός αυτής της εργαστηριακής άσκησης είναι η πειραματική επαλήθευση της λειτουργίας του α- θροιστή/αφαιρέτη των 4 ων bit που προσομοιώθηκε στο μέρος Α.IV. Οι δύο 4-bit αριθμοί θα εισάγονται από τους διακόπτες SW0-SW7 που είναι άμεσα συνδεδεμένοι με το CYCLONE II, μέσω συγκεκριμένων pins (βλέπε εγχειρίδιο της Altera DE1). Η επιλογή μεταξύ πρόσθεσης και αφαίρεσης θα γίνεται μ ένα διακόπτη πίεσης (push-button switch), ο οποίος είναι αρνητικής λογικής. Στην είσοδο SUB θα μπει ένας αντιστροφέας, έτσι που το κύκλωμα να λειτουργεί σαν αφαιρέτης όταν το κουμπί είναι πατημένο, και σαν αθροιστής όταν δεν είναι πατημένο. Η έξοδος θα εμφανίζεται για έλεγχο σ ένα SSD (Seven-Segment Display), που είναι συνδεδεμένο στο ολοκληρωμένο. Για να μπορούμε να βλέπουμε το δεκαεξαδικό ισοδύναμο του αριθμού, πρέπει να γίνεται μετατροπή από δυαδικό κώδικα σε κώδικα SSD. Αυτό θα γίνεται με τη χρήση ενός αποκωδικοποιητή από δεκαεξαδικό-σε-ssd (Hex to Seven-Segment Display decoder) (όπου τα 4 bit δυαδικό θεωρούνται ως ένα δεκαεξαδικό ψηφίο). Πιθανό κρατούμενο εξόδου Cout (1-bit) θα παρουσιάζεται στο πρώτο κόκκινο LED στα δεξιά. 1. Ανοίξετε το project lab3.qpf που έχετε αποθηκεύσει από το προηγούμενο εργαστήριο (λογισμικού), επιλέγοντας File Open Project, ή κάνοντας διπλό κλικ στο αρχείο στον φάκελο σας. 2. Ανοίξετε (στο ίδιο project) το σχηματικό lab3_fas4.bdf στον Graphic Editor, επιλέγοντας File Open. 3. Τοποθετήστε μια πύλη not στην είσοδο SUB. 4. Επιλέξτε Assignments Settings και μετά την κάρτα Libraries. Προσθέστε τον κατάλογο DE1core library functions. Ο κατάλογος βρίσκεται στην ιστοσελίδα του μαθήματος και πρέπει να τον αντιγράψετε στο φάκελο που περιέχει τον σχεδιασμό σας (περισσότερες πληροφορίες από τους υπεύθυνους του εργαστηρίου). Ο αποκωδικοποιητής Ηex to Seven-Segment Display (μαζί με άλλες χρήσιμες υλοποιήσεις για την πλακέτα DE1) βρίσκεται σε αυτόν τον κατάλογο. Μετατρέποντας τον κατάλογο σε μια βιβλιοθήκη χρήστη (user library), μπορείτε να εισάγετε οποιεσδήποτε από αυτές τις έτοιμες υλοποιήσεις μέσω του παραθύρου διαλόγου Symbol, όπως κάνετε και για απλές πύλες στους υπό δημιουργία σχεδιασμούς σας. 5. Εισαγάγετε ένα σύμβολο dec_7seg στον σχεδιασμό σας από τον πιο πάνω κατάλογο. Κάντε Double click στο σύμβολο για να δείτε την περιγραφή του σε γλώσσα περιγραφής υλικού (VHDL). 1

ΗΜΥ 211 Εργαστήριο Υλικού 3 6. Διαγράψετε την έξοδο Z[3..0], και συνδέστε τους ασύνδετους κόμβους (σε μορφή δίαυλου) στην είσοδο του συμβόλου dec_7seg (η οποία, επίσης, είναι σε μορφή δίαυλου). Σημαντικό: Επειδή έχετε διαγράψει τη βασική θύρα εξόδου, θα πρέπει τώρα να ονομάσετε τον δίαυλο (διάνυσμα κόμβων) στην είσοδο του dec_7seg ως Z[3..0], ούτως ώστε οι κόμβοι Ζ[0], Ζ[1], Ζ[2] και Ζ[3] να συνεχίσουν να συνδέονται στις εξόδους των συμβόλων του lab3_fas (δηλαδή, στην έξοδο του κάθε πλήρη αθροιστή/αφαιρέτη 1-bit). 7. Εισαγάγετε 7 νέες θύρες εξόδου. Ονομάστε τις με τη σειρά, με τα γράμματα από a έως g. 8. Συνδέστε με καλώδιο τις εξόδους του dec_7seg με τις αντίστοιχες θύρες εξόδου. 9. Επιλέξετε Project Set as Top-Level Entity και μεταφράστε τον σχεδιασμό σας. 10. Επιλέξτε Assignments Pins για να αναθέσετε τα κατάλληλα pins στις εισόδους και εξόδους του κυκλώματος, σύμφωνα με τις ακόλουθες οδηγίες. (Για να βρείτε τα ονόματα των pins ανατρέξετε στο εγχειρίδιο της Altera DE1. Για περισσότερες πληροφορίες για το πώς να αναθέσετε τα κατάλληλα pins, και για άλλα θέματα, ανατρέξετε στα εργαστήρια 1 και 2.) 11. Αναθέστε τον πρώτο διακόπτη πίεσης από τ αριστερά, KEY3, στην είσοδο SUB. 12. Αναθέστε τους 4 διακόπτες SW7 έως SW4 στις εισόδους X[3] έως X[0], αντίστοιχα. 13. Αναθέστε τους 4 διακόπτες SW3 έως SW0 στις εισόδους Y[3] έως Y[0], αντίστοιχα. 14. Αναθέστε το πρώτο κόκκινο LED από τα δεξιά, LEDR0, στην έξοδο Cout. 15. Αναθέστε τα 7 pins (0-6) του πρώτου SSD από τα δεξιά, HEX0, στις εξόδους a έως g, αντίστοιχα. (Ανατρέξετε στις σελίδες 30 και 31 του εγχειριδίου της Altera DE1.) 16. Αποθηκεύστε και μεταφράστε τον σχεδιασμό σας, μετά την ανάθεση των pins. Κρατήστε μια εκτύπωση του (με το όνομα και τον αριθμό ταυτότητας σας, και τη μέρα εργαστηρίου). 17. Βεβαιωθείτε ότι η πλακέτα DE1 είναι συνδεδεμένη στο ρεύμα και πατήστε το κόκκινο κουμπί (Power ON/OFF Switch) για να εκκινήσει. Βεβαιωθείτε ότι το RUN/PROG switch (βλέπε σελίδα 4 του εγχειριδίου) είναι στη θέση RUN. 18. Προγραμματισμός πλακέτας DE1: (α) Ανοίξτε την εφαρμογή Tools Programmer. (β) Επιλέξτε Hardware Setup πάνω αριστερά στο παράθυρο του Programmer. (γ) Επιλέξτε USB-Blaster [USB-0] και μετά πατήστε Close. Βεβαιωθείτε, επίσης, ότι στο πεδίο Mode έχει επιλεγεί το JTAG. Αν όχι, επιλέξτε το. 19. Πατήστε Start στο παράθυρο του Programmer για να κατεβάσετε τον μεταφρασμένο σχεδιασμό σας στην πλακέτα. Η διαδικασία αυτή θα πάρει μόνο μερικά δευτερόλεπτα. 20. Ελέγξετε την ορθότητα της λειτουργίας του αθροιστή/αφαιρέτη για διάφορες τιμές εισόδου στην πλακέτα. (Επίδειξη σε έναν από τους υπεύθυνους του μαθήματος απαραίτητη πριν προχωρήσετε στο επόμενο μέρος.) 21. Σημειώστε και σχολιάστε οποιεσδήποτε δυσκολίες έχετε συναντήσει με τη χρήση της πλακέτα, και περιγράψετε τα βήματα που ακολουθήσατε για να τις αντιμετωπίσετε. (Κλείστε όλα τα παράθυρα σχεδιασμού, κτλ., αλλά μην βγείτε από το Quartus II.) 2

ΗΜΥ 211 Εργαστήριο Υλικού 3 Μέρος Β.ΙΙ. Σε αυτό το μέρος θα επαληθεύσετε τη λειτουργία του πολλαπλασιαστή 4-bit x 2-bit, που σχεδιάσατε και προσομοιώσατε στο μέρος A.V. Οι δύο αριθμοί, 4 ων και 2 bit, θα εισάγονται από τους διακόπτες SW0- SW5, που είναι άμεσα συνδεδεμένοι με το CYCLONE II μέσω συγκεκριμένων pins, ενώ το γινόμενο θα εμφανίζεται για έλεγχο σε δύο SSDs (Seven-Segment Displays). Όπως και προηγουμένως, για να μπορούμε να βλέπουμε το δεκαεξαδικό ισοδύναμο ενός αριθμού, πρέπει να γίνεται μετατροπή από δυαδικό κώδικα σε κώδικα SSD, με τη χρήση ενός αποκωδικοποιητή από δεκαεξαδικό-σε-ssd (Hex to Seven- Segment Display decoder). Τα τέσσερα λιγότερο σημαντικά ψηφία του γινομένου P[5..0] θα μετατρέπονται στο λιγότερο σημαντικό δεκαεξαδικό ψηφίο, που θα εμφανίζεται στο πρώτο από τα δεξιά SSD. Τα επόμενα δύο, πιο σημαντικά, δυαδικά ψηφία του γινομένου, μαζί με δύο γειωμένα ψηφία (καλώδια), θα μετατρέπονται στο επόμενο δεκαεξαδικό ψηφίο, που θα εμφανίζεται στο δεύτερο από τα δεξιά SSD. 1. Ανοίξετε (στο ίδιο με προηγουμένως project) το σχηματικό lab3_mul.bdf στον Graphic Editor, επιλέγοντας File Open. 2. Εισαγάγετε δύο σύμβολο dec_7seg στον σχεδιασμό σας από τον κατάλογο DE1core library functions, με τον ίδιο τρόπο όπως και στο προηγούμενο μέρος. 3. Διαγράψετε την έξοδο του σχεδιασμού, P[5..0]. Συνδέστε τους τέσσερεις λιγότερο σημαντικούς ασύνδετους κόμβους του γινομένου (σε μορφή δίαυλου, P[3..0]) στην είσοδο του πρώτου συμβόλου dec_7seg, όπως κάνατε στο βήμα 6 στην προηγούμενη σελίδα. Συνδέστε τα επόμενα δύο πιο σημαντικά ψηφία του γινομένου, P[5..4], μαζί με δύο γειωμένα καλώδια, στην είσοδο του δεύτερου συμβόλου dec_7seg. Τα γειωμένα καλώδια θα είναι τα δύο πιο σημαντικά ψηφία, και άρα ο δίαυλος (διάνυσμα κόμβων) στην είσοδο του δεύτερου dec_7seg θα έχει το όνομα GND, GND, P[5..4] όπου GND θα είναι το όνομα ενός μονού καλωδίου ενωμένο στη γείωση (δηλαδή, στο σύμβολο gnd). 4. Εισαγάγετε 14 νέες θύρες εξόδου. Ονομάστε τις πρώτες εφτά θύρες a0, b0,... έως g0, και τις επόμενες εφτά a1, b1,... έως g1. 5. Συνδέστε με καλώδιο τις εξόδους του πρώτου dec_7seg με τις θύρες εξόδου a0 έως g0. Συνδέστε τις εξόδους του δεύτερου dec_7seg με τις θύρες εξόδου a1 έως g1. 6. Επιλέξετε Project Set as Top-Level Entity και μεταφράστε τον σχεδιασμό σας. 7. Επιλέξτε Assignments Pins για να αναθέσετε τα κατάλληλα pins στις εισόδους και εξόδους του κυκλώματος, σύμφωνα με τις ακόλουθες οδηγίες. 8. Αναθέστε τους διακόπτες SW5 έως SW2 στις εισόδους A[3] έως A[0], αντίστοιχα. Αναθέστε τους διακόπτες SW1 και SW0 στις εισόδους Β[1] και Β[0], αντίστοιχα. 9. Αναθέστε τα 7 pins (0-6) του πρώτου SSD από τα δεξιά, HEX0, στις εξόδους a0 έως g0, αντίστοιχα. Αναθέστε τα 7 pins του δεύτερου SSD από τα δεξιά, HEX1, στις εξόδους a1 έως g1, αντίστοιχα. (Ανατρέξετε στις σελίδες 30 και 31 του εγχειριδίου της Altera DE1.) 10. Αποθηκεύστε και μεταφράστε τον σχεδιασμό σας, μετά την ανάθεση των pins. Κρατήστε μια εκτύπωση του (με το όνομα και τον αριθμό ταυτότητας σας, και τη μέρα εργαστηρίου). 11. Αφού εκκινήσετε την πλακέτα DE1, κατεβάστε σ αυτήν τον μεταφρασμένο σχεδιασμό σας χρησιμοποιώντας την εφαρμογή Tools Programmer. 12. Ελέγξετε την ορθότητα της λειτουργίας του πολλαπλασιαστή για διάφορες τιμές εισόδου στην πλακέτα. (Επίδειξη σε έναν από τους υπεύθυνους του μαθήματος απαραίτητη.) 3

ΗΜΥ 211 Εργαστήριο Υλικού 3 Μέρος Β.ΙΙΙ. Σκοπός αυτής της άσκησης είναι να επαληθεύσετε πειραματικά τη λειτουργία του πλήρη αθροιστή 1-bit που φτιάξατε και με τις δύο εκδοχές, τόσο με τους πολυπλέκτες, όσο και με τον αποκωδικοποιητή, και τις οποίες έχετε προσομοιώσει στο Μέρος Α. Χρησιμοποιείστε το σχηματικό στον Graphic Editor για καθοδήγηση στο πώς να ενώσετε τα διάφορα στοιχεία μεταξύ τους. Ετοιμάστε μια εικόνα του διαγράμματος των στοιχείων, με τις κατάλληλες συνδέσεις καλωδίων, χρησιμοποιώντας τα σύμβολα που βλέπετε στις σελίδες 4 και 5 του Εργαστηρίου Λογισμικού 3, και πιο κάτω στην παρούσα σελίδα. (Βλέπετε επίσης την επόμενη σελίδα.) 1. Εισαγάγετε τα ακόλουθα ολοκληρωμένα στην πλακέτα (breadboard): 74LS153 διπλός (dual) πολυπλέκτης 4-σε-1, 74LS138 αποκωδικοποιητής 3-σε-8, 74LS04 hex inverter, και το 74LS20 δύο NAND 4 ων εισόδων. 2. Σχεδιάστε στην επόμενη σελίδα την εικόνα της συνδεσμολογίας για τις δύο διαφορετικές υλοποιήσεις πλήρη αθροιστή 1-bit που έχετε κάνει στο μέρος Α. Χρησιμοποιήστε τους διακόπτες SW2, SW1, και SW0, για τις εισόδους X, Y και Cin, αντίστοιχα, και για τα δύο κυκλώματα. Χρησιμοποιήστε τα LEDs L3, L2, L1, και L0 για να δείξετε τις εξόδους με τη σειρά: Cout_Mux, Cout_Dcd, Sum_Mux και Sum_Dcd. 3. Συνδέστε τα κυκλώματα όπως το διάγραμμα συνδεσμολογίας του βήματος 2. 4. Καθορίστε πειραματικά και καταγράψετε στην τελευταία σελίδα τον πίνακα αληθείας για τις τέσσερεις εξόδους, ως συναρτήσεις των εισόδων των διακοπτών SW2, SW1, και SW0. (Επίδειξη σε έναν από τους υπεύθυνους του μαθήματος απαραίτητη.) 5. Ποια είναι τα σχετικά πλεονεκτήματα και μειονεκτήματα των δύο υλοποιήσεων του α- θροιστή; Πόσα ολοκληρωμένα 74LSΧΧ χρειάζονται για την κάθε υλοποίηση; Λίστα Στοιχείων και Οργάνων A/A Qty Part No Description 1 1 WK-1 Wire Kit 2 1 74LS04 Hex Inverter 3 1 74LS20 Dual 4-Input NAND Gate 4 1 74LS138 3-to-8 Decoder/Demultiplexer 5 1 74LS153 Dual 4-to-1 Multiplexer 6 1 ETS-7000 Digital Analog Training System unit 4

Όνομα: Αρ. Ταυτότητας: Ημέρα:

Όνομα: Αρ. Ταυτότητας: Ημέρα: