Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή"

Transcript

1 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ Συνδιαστική Λογική με Πολυπλέκτες και Αποκωδικοποιητές: Σχεδιασμός ενός Πλήρους Αθροιστή ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ 3 Εισαγωγή Σε προηγούμενα πειράματα έχουμε εξετάσει τη χρήση τριών συνόλων πυλών που είναι συναρτησιακά πλήρεις για την πραγματοποίηση όλων των συνδυαστικών λογικών πράξεων: 1) πύλες AND, OR, και NOT, 2) πύλες NAND, και 3) πύλες NOR. Έχουμε επίσης εξετάσει την πύλη XOR, η οποία, παρόλο που δεν είναι συναρτησιακά πλήρης, είναι χρήσιμη για την κατασκευή χρήσιμων συναρτήσεων, όπως λ.χ. την παραγωγή περιττής ισοτιμίας. Μέχρι στιγμής έχουμε χρησιμοποιήσει ολοκληρωμένα τεχνολογίας SSI (Small-Scale Integration) στο εργαστήριο για να υλοποιήσουμε τους σχεδιασμούς μας. Σε αυτό το Εργαστήριο, θα χρησιμοποιήσουμε δύο ολοκληρωμένα τεχνολογίας MSI (Medium-Scale Integration), ένα ΠΟΛΥΠΛΕΚΤΗ (Multiplexer - MUX) και έναν ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ (Decoder). Το κάθε ένα από αυτά θα χρησιμοποιηθεί, από μόνο του, για τον σχεδιασμό ενός πλήρους δυαδικού αθροιστή 1 ος bit (1-bit binary full adder). Όπως φαίνεται στο πιο κάτω διάγραμμα, ένας πλήρης αθροιστής ενός bit έχει τρεις εισόδους του 1 ος bit, (προσθετέος Χ, προσθετέος Υ, και κρατούμενο εισόδου (carry-in) Cin). Ακόμα, διαθέτει δύο εξόδους (το κρατούμενο εξόδου (carry-out) Cout, και το άθροισμα Sum). Ένας πλήρης αθροιστής ριπής Ν-bit (N-bit Ripple Carry Adder) αποτελείται από Ν διαδοχικούς πλήρεις αθροιστές 1 ος bit. Αυτή η μέθοδος θα χρησιμοποιηθεί για την κατασκευή ενός αθροιστή/αφαιρέτη 4 ων bit στο Μέρος Δ αυτής της άσκησης. Μια πιθανή υλοποίηση ενός πλήρους αθροιστή 1 ος bit φαίνεται στην εικόνα 4-4, σελ. 153 του βιβλίου σας (Mano & Kime, 2007). Επίσης, η εικόνα 4-5, σελ. 154, δείχνει το ιεραρχικό σχηματικό για έναν πλήρη αθροιστή ριπής 4 ων bit (4-bit Ripple Carry Adder). X Προσθετέος 1 Y Προσθετέος 2 Άθροισμα Sum Cin Κρατούμενο Εισόδου Κρατούμενο Εξόδου Cout Τέλος, στο Μέρος Ε θα χρησιμοποιήσετε τον πλήρη αθροιστή/αφαιρέτη 4 ων bit ως βασικό δομικό στοιχείο για να κατασκευάσετε ένα δυαδικό πολλαπλασιαστή, ο οποίος θα υπολογίζει το γινόμενο δύο α- ριθμών, ενός 4 ων bit και ενός των 2 bit. Σε αυτό το Εργαστήριο, θα χρησιμοποιηθεί η εφαρμογή του QUARTUS II Timing Analyzer για πρώτη φορά. Η εφαρμογή αυτή παρουσιάζει τις καθυστερήσεις στο κύκλωμα, οι οποίες υπολογίζονται από τον Compiler, σε μια ευανάγνωστη μορφή πίνακα. Το QUARTUS II θεωρεί ότι ο σχεδιασμός θα υλοποιηθεί με διατάξεις προγραμματιζόμενης λογικής (PLDs) και όχι με τα ολοκληρωμένα που θα χρησιμοποι- 1

2 ήσετε. Έτσι, οι καθυστερήσεις δεν θα είναι ακριβώς οι ίδιες με αυτές που θα υπάρχουν στο κύκλωμα σας, ωστόσο, είναι χρήσιμο για να κατανοήσετε την έννοια της καθυστέρησης. Επίσης, στην άσκηση αυτή θα χρησιμοποιήσετε δίαυλους (buses) για πρώτη φορά. Η χρήση των διαύλων θα εξηγηθεί στη συνέχεια με λεπτομέρεια. Όμως, οι περισσότερες διαδικασίες αυτής της άσκησης έχουν ήδη καλυφθεί εκτεταμένα στις προηγούμενες εργαστηριακές ασκήσεις και, άρα, οι επεξηγήσεις τους δεν επαναλαμβάνονται εδώ. Αν δεν θυμάστε πώς πρέπει να γίνουν οι ζητούμενες ενέργειες, συμβουλευτείτε τις προηγούμενες ασκήσεις ή το σύστημα βοήθειας του QUARTUS II. Σημειώστε: Σε αυτή την εργαστηριακή άσκηση θα παρουσιαστούν αρκετά νέα θέματα, όπως πολυπλέκτες, αποκωδικοποιητές, αθροιστές, κτλ. Άρα, η ανάλογη προετοιμασία είναι απαραίτητη. Αυτό συνεπάγεται προηγούμενη μελέτη της εκφώνησης και των παραπομπών στο βιβλίο σας. Ύλη σχετική για αυτό το εργαστήριο (αποκωδικοποιητές, πολυπλέκτες, αθροιστές) υπάρχει στο βιβλίο σας (Mano & Kime, 2007), στις σελίδες , , και Βαθμολογείστε και κατά τη διάρκεια του εργαστηρίου (λογισμικού και υλικού). Βεβαιωθείτε ότι ο διδάσκων ή ένας από τους υπεύθυνους του εργαστηρίου έχει δει το τελικό αποτέλεσμα για κάθε μέρος της άσκησης, το οποίο σάς ζητείτε να παραδώσετε ή να παρουσιάσετε. Οι ακόλουθες εκτυπώσεις και συμπληρωμένες σελίδες είναι απαραίτητες και θα πρέπει να υπογραφούν από τους υπεύθυνους του εργαστηρίου και να συμπεριληφθούν στη γραπτή αναφορά: Τον Πίνακα Αληθείας και τις εκφράσεις των ελαχιστόρων για τις ασκήσεις 1 και 2 στη σελίδα 3, τον σχεδιασμό του πλήρη αθροιστή 1-bit με πολυπλέκτες από το Ι.1, τον σχεδιασμό του με τον αποκωδικοποιητή από τα ΙΙ.1 και ΙΙ.2, και τον σχεδιασμό του πολλαπλασιαστή από το V.1. (Όλη αυτή η προεργασία πρέπει να καθαρογράφεται στον διαθέσιμο χώρο στο τέλος της εκφώνησης.) Τα σχηματικά από τα ΙΙΙ.14, IV.19 και V.3 (συμπεριλαμβανομένων των σχηματικών των συμβόλων). Τα αποτελέσματα της προσομοίωσης από τα ΙΙI.18, IV.23 και V.4 (συμπεριλαμβανομένων των καθυστερήσεων από το III.22). 2

3 Μέρος Α (πρώτη εβδομάδα) Προεργασία: 1. Καταγράψτε τον πίνακα αληθείας ενός πλήρους αθροιστή 1 ος bit με τις εξής στήλες: X, Y, Cin, Cout, Sum. Οι τιμές εισόδου πρέπει να σχηματίζουν μιαν ακολουθία δυαδικής απαρίθμησης (binary counting sequence). 2. Καταγράψτε τις εξόδους Sum και Cout σαν άθροισμα ελαχιστόρων (sum of minterms), τόσο σαν εκφράσεις Boolean, όσο και στη σύντομη σημειογραφία που έχετε μάθει (Σm). Σημειώστε ότι το bit Cin είναι το λιγότερο σημαντικό bit (LSB) και το X το περισσότερο σημαντικό bit (MSB). I. Υλοποίηση Πλήρους Αθροιστή ενός Bit με Πολυπλέκτες Ένας πολυπλέκτης (multiplexer - ΜUX) είναι το ισοδύναμο, λειτουργικά, ολοκληρωμένο ενός διακόπτη επιλογής, του οποίου η μία έξοδος μπορεί να ενωθεί με οποιαδήποτε από τις Ν διαφορετικές εισόδους. Η «θέση» του διακόπτη καθορίζεται από ένα δυαδικό αριθμό ο οποίος είναι επίσης είσοδος στο κύκλωμα, ή από μία διεύθυνση που αποτελείτε από log 2 (N) bits. Παραδείγματα τέτοιων ολοκληρωμένων τεχνολογίας Low-Power Schottky (LS) είναι οι διατάξεις: 74LS157 (τέσσερις 2-σε-1 ΜUX), 74LS153 (δύο 4-σε-1 MUX) και 74LS151 (ένας 8-σε-1 MUX) πολυπλέκτες. Μόνο οι 74LS157 και 74LS153 είναι στη διάθεση σας, και μόνο ο δεύτερος (ο 4-σε-1 πολυπλέκτης) θα χρησιμοποιηθεί στην άσκηση αυτή. Το συναρτησιακό ισοδύναμο ενός 4-σε-1 πολυπλέκτη δίνετε πιο κάτω. Τα δύο σήματα ελέγχου Β και Α καθορίζουν την θέση του διακόπτη, έτσι που η έξοδος F να είναι ενωμένη σε ακριβώς μία από τις εισόδους C 0,0 0 έως C 3. Η πράξη που εκτελεί ο διακόπτης καθορίζεται από τη δυαδική συνάρτηση που φαίνεται στην εξίσωση [1]: C 0 C 1 0,1 F= ( B A) C C [1] 0 + ( B A) C1 + ( B A) C 2 + ( B A) F 1,0 C B, A Παρόλο που ο πολυπλέκτης χρησιμοποιείται συχνά σαν διακόπτης, 2 μπορεί να χρησιμοποιηθεί και για την παραγωγή συνδυαστικής λογικής. Ένας πολυπλέκτης με M σήματα ελέγχου (ή διεύθυνσης) μπορεί 1,1 C 3 να υλοποιήσει οποιαδήποτε συνάρτηση με τις Μ αυτές μεταβλητές, αφού υπάρχει μία ακριβώς είσοδος που αντιστοιχεί σε κάθε ελαχιστόρο. Θέτοντας κατάλληλες τιμές σε κάθε μία από τις εισόδους (αντίστοιχη μεταβλητή, 1, ή 0) παίρνουμε τους ελαχιστόρους που επιθυμούμε σύμφωνα με τον πίνακα αληθείας της συνάρτησης. Ένας πολυπλέκτης και ένας αντιστροφέας μπορούν να υλοποιήσουν κάθε συνάρτηση Μ+1 μεταβλητών, όπου η επιπλέον μεταβλητή, το συμπλήρωμα της, το 0, ή το 1, συνδέονται σε κάθε γραμμή εισόδου, όπως καθορίζεται από τον πίνακα αληθείας της συνάρτησης. Για παράδειγμα, θεωρείστε ότι έχουμε μία συνάρτηση τριών μεταβλητών F(X, Y, W), και θέλουμε να την υλοποιήσουμε με ένα πολυπλέκτη 4-σε-1. Αν χρησιμοποιήσουμε τις μεταβλητές X και Y ως τα δύο σήματα ελέγχου του πολυπλέκτη, τότε το W γίνεται η «επιπλέον» μεταβλητή του πολυπλέκτη. Από τον πίνακα αληθείας μπορείτε να βρείτε ότι οι τιμές των εισόδων του πολυπλέκτη πρέπει να τεθούν στο (W, W, 0, ή 1). Εναλλακτικά, οι τέσσερις είσοδοι του πολυπλέκτη C 0 έως C 3 μπορούν να υπολογιστούν από την εξίσωση [1], αντικαθιστώντας συγκεκριμένες τιμές του Χ και του Υ στις εξισώσεις στην [2] πιο κάτω. Οι υπολογισμοί που θα κάνετε θα σάς οδηγήσουν στις τιμές (0, 1, W ή W ) που πρέπει να ανατεθούν στις εισόδους του πολυπλέκτη. Το F στην [2] αναφέρεται στη συνάρτηση συνδυαστικής λογικής που θέλουμε να υλοποιήσουμε με τον πολυπλέκτη. 3 C 0 = F(0, 0, W) C 1 = F(0, 1, W) C 2 = F(1, 0, W) C 3 = F(1, 1, W) [2] 3

4 Ο πίνακας αληθείας και το διάγραμμα σύνδεσης για το 74LS153 φαίνεται πιο κάτω. Σημειώστε ότι το Β είναι το MSB της διεύθυνσης 2-bit, και το σήμα επιλογής _G είναι αρνητικής λογικής 1. Switch En Output B A _G Y X X C C C C3 Προεργασία: 1. Σχεδιάστε ένα κύκλωμα που να υλοποιεί έναν πλήρη αθροιστή με τη χρήση ενός ολοκληρωμένου με δύο πολυπλέκτες 4-σε-1 και έναν αντιστροφέα. Χρησιμοποιήστε τις εξισώσεις που έχετε στο σημειωματάριο (αθροίσματα ελαχιστόρων στην άσκηση 2, σελ. 3) για τους υπολογισμούς των εξισώσεων στην [2] πιο πάνω. Επαληθεύσετε τα αποτελέσματα σας παρατηρώντας τους πίνακες αληθείας. II. Υλοποίηση ενός Πλήρους Αθροιστή ενός Bit με Αποκωδικοποιητή Ένας αποκωδικοποιητής (decoder) είναι ένα ολοκληρωμένο MSI συνδυαστικής λογικής με N εισόδους και με 2 N εξόδους, που αντιστοιχούν στους ελαχιστόρους των εισόδων, έτσι που ακριβώς μια από τις ε- ξόδους ενεργοποιείται για κάθε συνδυασμό τιμών στις εισόδους. Κάθε συνάρτηση Ν μεταβλητών μπορεί να υλοποιηθεί παίρνοντας το λογικό OR των ελαχιστόρων που περιγράφουν τη συνάρτηση από τις εξόδους του αποκωδικοποιητή. Παραδείγματα αποκωδικοποιητών τεχνολογίας Low-Power Schottky είναι οι διατάξεις: 74LS155A (δύο 2-σε-4 Decoders), 74LS138 (ένας 3-σε-8 Decoder) και 74LS42 (ένας 4-σε-10 BCD-σε-δεκαδικό) αποκωδικοποιητές. Αφού ο πλήρης αθροιστής έχει 3 εισόδους, θα χρησιμοποιήσουμε το ολοκληρωμένο 74LS138, 3-σε-8 αποκωδικοποιητή, για αυτό το πείραμα. Ο πίνακας αληθείας και το διάγραμμα των pins του ολοκληρωμένου ακολουθούν στην επόμενη σελίδα. Σημειώστε ότι οι έξοδοι είναι αρνητικής λογικής (active low), όπως δηλώνουν οι κύκλοι μπροστά από τα ονόματα τους. Επίσης, παρατηρήστε ότι οι είσοδοι ενεργοποίησης (Enable Inputs) είναι θετικής και αρνητικής λογικής. Υπάρχουν τρεις είσοδοι ενεργοποίησης (G1, _G2A και _G2B). Ο αποκωδικοποιητής ενεργοποιείτε μόνο όταν το G1 είναι λογικό High (1) και τα δύο _G2A and _G2B είναι λογικό Low (0). Αν το G1 χρησιμοποιηθεί σαν σήμα εισόδου, τότε το ολοκληρωμένο ονομάζετε αποπλέκτης (demultiplexer), όπου το συμπλήρωμα του G1 οδηγείτε στην συγκεκριμένη έξοδο Y που επιλέγεται με την «διεύθυνση» των εισερχόμενων 3-bit (C, B, and A). Ο αντίστοιχος του διακόπτης θα ήταν ένας διακόπτης 8 θέσεων, σαν αυτόν στη σελίδα 3, με διάδοση του σήματος από τα δεξιά προς τα αριστερά. 1 Το πρόθεμα _ μπροστά από ένα σήμα υπονοεί ότι το σήμα είναι αρνητικής λογικής (ενεργοποιείται με Low). 4

5 Προεργασία: 1. Παρατηρώντας τον πίνακα αληθείας του Πλήρους Αθροιστή (άσκηση 1, σελ. 3), καθορίστε τις κατάλληλες συνδέσεις για την υλοποίηση του, με ένα 3-σε-8 αποκωδικοποιητή. Θεωρείστε αρχικά θετική λογική για τις εξόδους του αποκωδικοποιητή, και βρείτε ένα κύκλωμα με εξόδους τις Sum και Cout, χρησιμοποιώντας τον απαραίτητο αριθμό πυλών OR. 2. Αφού οι έξοδοι του 74LS138 είναι αρνητικής λογικής, δείξετε ότι μετατρέποντας κατάλληλα το πιο πάνω κύκλωμα (σημείο 1), μπορείτε να καταλήξετε σε ένα κύκλωμα με έναν αποκωδικοποιητή και πύλες NAΝD, που υλοποιούν έναν πλήρη αθροιστή. (Το 74LS20 περιέχει δύο πύλες NAND 4 εισόδων. Έτσι, ο σχεδιασμός αυτός απαιτεί ένα μόνο 74LS138 και ένα μόνο 74LS20.) Enable Inputs Select Inputs Outputs G1 _G2* C B A Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 X 1 X X X X X X X _G2* = _G2A + _G2B III. Σχεδιασμός ενός Πλήρους Αθροιστή 1-bit με το QUARTUS II Κατασκευή σχηματικού με Graphic Editor: 5

6 Κατασκευάστε ένα σχηματικό διάγραμμα που να περιέχει τις δύο υλοποιήσεις του πλήρη αθροιστή, με τους πολυπλέκτες και τον αποκωδικοποιητή. Τρεις θύρες εισόδου δίνουν τα Χ, Υ και Cin και για τους δύο σχεδιασμούς. Ο κάθε σχεδιασμός πρέπει να έχει τις δικές του θύρες εξόδου. 1. Δημιουργήστε στο χώρο εργασίας σας ένα νέο φάκελο (folder) με το όνομα Lab3 κάτω από τον κατάλογο του μαθήματος. 2. Ανοίξετε το QUARTUS II και δημιουργήστε ένα νέο αρχείο του Graphic Editor. Αποθηκεύστε το με το όνομα lab3.bdf, και συμπεριλάβετε το στο τρέχον project, το οποίο πρέπει να δημιουργήσετε (όπως έχετε μάθει σε προηγούμενες εργαστηριακές ασκήσεις). 3. Προσθέστε τα ακόλουθα σύμβολα από το παράθυρο διαλόγου Symbol: gnd, input, output, vcc, 7404 (inverter), (3-to-8 Decoder), (dual 4-to-1 Multiplexer), 7420 (dual 4-input NAND), κτλ. 4. Αντιγράψετε (copy/paste) τα στοιχεία που χρειάζεστε σύμφωνα με τον σχεδιασμό σας. Αν κατά τη διάρκεια του σχεδιασμού αντιληφθείτε ότι το αρχείο του Graphic Editor δεν είναι αρκετά μεγάλο για τον σχεδιασμό σας, μπορείτε να το μεγεθύνετε με την επιλογή Size (File Menu). 5. Συνδέστε όλα τα σήματα επιλογής (enable) των πολυπλεκτών και του αποκωδικοποιητή, είτε στη γείωση (Gnd), είτε στην πηγή (Vcc), με τον κατάλληλο τρόπο, ώστε τα στοιχεία να είναι πάντα ενεργοποιημένα (enabled). 6. Μετονομάστε τις θύρες εισόδους σε X, Y, και Cin (με αυτήν τη σειρά). 7. Σχεδιάστε ένα μικρό κόμβο («καλώδιο») από την έξοδο Y0N του αποκωδικοποιητή και ονομάστε τον ως dec0 κάνοντας αριστερό κλικ πάνω του. Η ονομασία κόμβων είναι πολύ πρακτική διαδικασία. Δύο κόμβοι με το ίδιο όνομα θεωρούνται συνδεδεμένοι στο QUARTUS II, ακόμη κι αν δεν υπάρχει καλώδιο μεταξύ τους. Ως παράδειγμα, θεωρήστε το πιο κάτω σχήμα: Σε περιπτώσεις που υπάρχουν πολλοί κόμβοι σε μικρή περιοχή, η άμεση σύνδεση με καλώδια μπορεί να δημιουργήσει συγχύσεις, τουλάχιστον οπτικές. Με την κατάλληλη ονομασία των κόμβων ξεπερνούμε τη δυσκολία αυτή. 8. Ονομάστε τις υπόλοιπες εξόδους του αποκωδικοποιητή με τη σειρά, dec1 έως dec7. 9. Ονομάστε τις εισόδους των πυλών NAND ώστε να αντιστοιχούν με τις εξόδους του αποκωδικοποιητή και να ακολουθούν τον σχεδιασμό που έχετε κάνει. 10. Συνδέστε τα υπόλοιπα στοιχεία σύμφωνα με τους 2 σχεδιασμούς σας στα μέρη Ι και ΙΙ. 11. Ονομάστε τις θύρες εξόδου με τα ονόματα: Cout_Mux, Sum_Mux, Cout_Dcd και Sum_Dcd για τα κυκλώματα με τους πολυπλέκτες και τον αποκωδικοποιητή, αντίστοιχα. 12. Αποθηκεύσετε το αρχείο σχεδιασμού σας και καθορίστε το ως Top-Level Entity. 6

7 13. Μεταφράστε τον σχεδιασμό σας. Βεβαιωθείτε ότι δεν έχει λάθη πριν προχωρήσετε στο επόμενο στάδιο. Ο compiler θα κατασκευάσει τα απαραίτητα αρχεία, που θα περιέχουν χρονικές και λογικές πληροφορίες για χρονική ανάλυση και προσομοίωση του κυκλώματος. 14. Κρατήστε μία εκτύπωση του σχηματικού σας (με το όνομα και τον αριθμό ταυτότητάς σας και την ημέρα εργαστηρίου). Προσομοίωση: 15. Δημιουργήστε ένα αρχείο κυματομορφών εισόδου με το όνομα lab3.vwf, διάρκειας 800ns και grid size 100ns, και αποθηκεύσετε το στον κατάλογο που περιέχει τον σχεδιασμό σας. Αυτό το αρχείο κυματομορφών θα πρέπει να απαριθμεί όλους τους πιθανούς συνδυασμούς των εισόδων X, Y, και Cin (εμφανιζόμενες στο αρχείο με αυτή τη σειρά, από πάνω προς τα κάτω, γιατί το X είναι το σημαντικότερο και το Cin το λιγότερο σημαντικό ψηφίο). Οι κυματομορφές εξόδου θα πρέπει να ακολουθούν αυτές των εισόδων, με τη σειρά που φαίνονται στο βήμα 11 πιο πάνω. Οι κυματομορφές θα δουλέψουν σωστά μόνο αν τα ονόματα που τους έχετε δώσει συμφωνούν με αυτά που δόθηκαν στα βήματα 6 και 11 πιο πάνω. 16. Ανοίξετε το Simulator Tool. Επιβεβαιώστε ότι το αρχείο lab3.vwf χρησιμοποιείται ως είσοδος της προσομοίωσης και ότι έχετε επιλέξει Timing στο πεδίο Simulation mode. 17. Εκτελέστε την προσομοίωση και ανοίξετε το Report για τα αποτελέσματα. Παρατηρήστε ότι στις εξόδους υπάρχουν καθυστερήσεις. 18. Κρατήστε μια εκτύπωση των κυματομορφών μετά την προσομοίωση (με το όνομα και τον αριθμό ταυτότητάς σας και την ημέρα εργαστηρίου). Για να πάρετε καλύτερη εκτύπωση, επιλέξτε όπως η σελίδα τυπωθεί Landscape, στο παράθυρο διαλόγου Print Setup (File menu). Χρονική Ανάλυση (Timing Analysis): Η χρονική συμπεριφορά του κυκλώματος θα εξεταστεί μέσω της εφαρμογής του Timing Analyzer, η ο- ποία καταγράφει τις καθυστερήσεις του κυκλώματος σε μια ευανάγνωστη μορφή. Σημείωση: ο Timing Analyzer θα τρέξει μόνο αν έχει προηγηθεί επιτυχής μετάφραση του σχεδιασμού σας. 19. Ανοίξτε το Classic Timing Analyzer Tool (Processing menu). 20. Επιλέξτε την κάρτα tpd. Η επιλογή αυτή του Timing Analyzer παρουσιάζει τα αποτελέσματα της ανάλυσης της καθυστέρησης διάδοσης (propagation delay ή pin-to-pin delay). 21. Εάν οι τιμές καθυστέρησης δεν παρουσιάζονται, τότε επιλέξτε Start στο παράθυρο του Timing Analyzer. Ο πίνακας θα γεμίσει με τιμές που αναπαριστούν τις καθυστερήσεις που υπάρχουν στο κύκλωμα. 7

8 22. Καταγράψτε τις τιμές καθυστερήσεων στον διαθέσιμο χώρο στο τέλος της εκφώνησης. Αν για ένα ζεύγος εισόδου/εξόδου υπάρχουν δύο τιμές καθυστέρησης οφείλετε στο ότι η καθυστέρηση διαφέρει για διαφορετικές τιμές εισόδου. Σε αυτή την περίπτωση καταγράψτε μόνο τη μέγιστη καθυστέρηση. Αν δεν υ- πάρχει τιμή για δύο κόμβους (εισόδου/εξόδου), τότε οι κόμβοι αυτοί δεν συνδέονται. 23. Κλείστε όλα τα παράθυρα, αλλά μην βγείτε από το QUARTUS II. IV. Σχεδιασμός ενός Αθροιστή/Αφαιρέτη 4 ων bit Σε αυτό το σημείο θα κατασκευάσετε ένα μη-προσημασμένο (unsigned) αθροιστή/αφαιρέτη με τη χρήση ενός πλήρους αθροιστή 1-bit και μιας πύλης XOR 2-εισόδων. Ο αθροιστής/αφαιρέτης θα έχει και μια τέταρτη είσοδο, τη SUB. Όταν η SUB είναι στο λογικό 1, το κύκλωμα θα λειτουργεί σαν αφαιρέτης, ενώ όταν η SUB είναι στο λογικό 0, τότε θα λειτουργεί σαν αθροιστής. Στόχος αυτής της άσκησης είναι να κατασκευάσετε έναν αθροιστή/αφαιρέτη 4 ων bit με την παράθεση τεσσάρων προσημασμένων αθροιστών/αφαιρετών 1-bit, όπως φαίνεται στη σελίδα 12. Στα επόμενα βήματα, πρώτα θα μετατρέψετε το σχηματικό lab3.bdf για να φτιάξετε έναν προσημασμένο αθροιστή/αφαιρέτη 1-bit με την προσθήκη μιας θύρας εισόδου και μίας πύλης 74LS86A XOR στο κύκλωμα με τους πολυπλέκτες 74LS153. Το σχηματικό θα αποθηκευτεί ως lab3_fas.bdf και θα δημιουργήσετε ένα σύμβολο με το ίδιο όνομα. Το σύμβολο θα αναπαραχθεί 4 φορές σ ένα σχηματικό με το όνομα lab3_fas4.bdf, όπου θα γίνουν οι απαραίτητες συνδέσεις για να δημιουργηθεί ο αθροιστής/αφαιρέτης των 4 ων bit. (Μαζί με το άθροισμα/διαφορά Z[3..0], ο αθροιστής/αφαιρέτης θα εξάγει και το κρατούμενο εξόδου (carry-out) Cout, το οποίο θα υποδηλοί υπερχείλιση.) Ο συνολικός σχεδιασμός μετά θα μεταφραστεί και θα προσομοιωθεί. Δημιουργία Σχηματικού Πλήρους Αθροιστή/Αφαιρέτη 1-bit: 1. Ανοίξετε το σχηματικό lab3.bdf στον Graphic Editor. 2. Αποθηκεύσετε το ως lab3_fas.bdf και θέστε το ως Top-Level Entity στο τρέχον project. 3. Επιλέξτε και διαγράψτε όλα τα στοιχεία που έχουν σχέση με την υλοποίηση του αθροιστή με τον αποκωδικοποιητή, δηλαδή τα και 7420, τις δύο θύρες εξόδου, κλπ. 4. Εισαγάγετε μια θύρα εισόδου και ονομάστε την SUB. Μετονομάστε τις υπόλοιπες δύο εξόδους σε Sum και Cout. 5. Προσθέστε μια πύλη 7486 XOR (αριθμός ολοκληρωμένου 74LS86A) για να χρησιμοποιηθεί με την είσοδο SUB. Συνδέστε την XOR για αντιστροφή του Y όταν SUB = 1. Με τον τρόπο αυτό υλοποιούμε το συμπλήρωμα ως προς ένα (one s complement του Y). Το συμπλήρωμα ως προς δύο (two s complement του Y) θα υλοποιηθεί χρησιμοποιώντας τη SUB σαν είσοδο στο Cin(0), στο ψηλότερο επίπεδο του σχηματικού των 4 ων bit, lab3_fas4.bdf. 6. Αποθηκεύσετε το σχηματικό και βεβαιωθείτε ότι έχει καθοριστεί ως Top-Level Entity. 7. Μεταφράστε τον σχεδιασμό σας. Βεβαιωθείτε ότι δεν έχει λάθη πριν προχωρήσετε στο επόμενο στάδιο. 8. Δημιουργήστε το σύμβολο επιλέγοντας: File Create/update Create Symbol Files for Current File. 8

9 Symbol Editor: 9. Ανοίξετε το αρχείο lab3_fas.bsf που περιέχει το σύμβολο (περισσότερες πληροφορίες για το πού βρίσκετε το αρχείο από τους υπευθύνους του εργαστηρίου). Το σύμβολο θα ανοίξει στην εφαρμογή Symbol Editor. Από εδώ μπορείτε να αλλάξετε την εμφάνιση του συμβόλου σας, περιλαμβανομένων του σχήματος και του μεγέθους του, καθώς και τη θέση των εισόδων και εξόδων. 10. Αλλάξτε το σύμβολο ώστε να συμφωνεί με το σύμβολο lab3_fas.bsf του σχηματικού της σελίδας Αποθηκεύστε το σύμβολο και κλείστε το αρχείο. Δημιουργία Σχηματικού Πλήρους Αθροιστή/Αφαιρέτη 4-bit: 12. Δημιουργήστε ένα νέο αρχείο σχεδιασμού και αποθηκεύστε το ως lab3_fas4.bdf. Καθορίστε το ως Top-Level Entity στο τρέχον project. 13. Εισαγάγετε 4 αντίγραφα (στιγμιότυπα) του συμβόλου lab3_fas από το παράθυρο διαλόγου Symbol, καθώς και τρεις θύρες εισόδου και δύο θύρες εξόδου, και τοποθετήστε τα όπως στο σχήμα της σελίδας Μετονομάστε τις θύρες όπως το σχήμα στην σελίδα 12. Οι θύρες εισόδου X[3..0], Y[3..0] και Z[3..0] είναι σε μορφή διαύλου (bus). Ένα pin διαύλου χρησιμοποιείται για τη δημιουργία μιας ομάδας από pins. Όταν συνδέουμε ένα δίαυλο με ένα pin χρησιμοποιούμε την ονομασία NAME[n..0], όπου n είναι ο αριθμός των bits μείον ένα. Έτσι, μία θύρα εισόδου με όνομα X[3..0] είναι ακριβώς το ίδιο με το να είχαμε 4 διαφορετικές εισόδους με τα ονόματα X[3], X[2], X[1] και X[0]. 15. Σχεδιάστε μικρές γραμμές από τα pin των διαύλων που να μην είναι ενωμένα πουθενά. Αυτό θα δημιουργήσει γραμμές διαύλου εισόδου και εξόδου. Οι γραμμές διαύλου δεν είναι απαραίτητες, αλλά κάνουν τον σχεδιασμό μας πιο ευανάγνωστο, δηλαδή καταλαβαίνει κάποιος πιο εύκολα ότι πρόκειται για δίαυλο. Παρόλα αυτά, αν μια λεπτή γραμμή (αντί χονδρής) χρησιμοποιηθεί για δίαυλος, ο compiler θα επιστρέψει λάθος. 16. Ονομάστε τις εισόδους και εξόδους των αντιγράφων (στιγμιότυπων) του συμβόλου lab3_fas σύμφωνα με το σχήμα της σελίδας 12, με παρόμοιο τρόπο που χρησιμοποιήσατε στο μέρος ΙΙI (βήμα 7) για τον αποκωδικοποιητή. Για να χρησιμοποιήσετε τα δεδομένα μιας γραμμής διαύλου, ενώστε ένα καλώδιο (κόμβο) σε οποιαδήποτε από τις εισόδους του κάθε αθροιστή 1-bit, ονομάζοντας κατάλληλα το καλώδιο/είσοδο βάσει του bit διαύλου που αντιπροσωπεύει. Για τον δίαυλο X που συζητήθηκε πιο πάνω, η σωστή ονομασία είναι X[3], X[2], X[1] και X[0] για την κάθε είσοδο, διαφορετικά ο compiler θα μας δώσει λάθος. 9

10 Να θυμάστε: - Ένα διάνυσμα κόμβων (πολλαπλοί κόμβοι) πρέπει να ενωθεί με μιαν αντίστοιχη γραμμή διαύλου για να έχουμε σωστή μετάφραση. - Ένας κόμβος εισόδου με όνομα X[3..0] είναι το ίδιο με 4 ξεχωριστούς κόμβους εισόδου, με όνομα X[3], X[2], X[1] και X[0]. - Ο κόμβος εισόδου δεν χρειάζεται να είναι ενωμένος γραφικά με τα pins εισόδου ενός συμβόλου για να θεωρείται συνδεδεμένος. 17. Συνδέστε τα υπόλοιπα στοιχεία για να ολοκληρωθεί το σχηματικό. 18. Αποθηκεύσετε το αρχείο και βεβαιωθείτε ότι το έχετε καθορίσει ως Top-Level Entity. 19. Μεταφράστε τον σχεδιασμό σας. Βεβαιωθείτε ότι δεν έχει λάθη πριν προχωρήσετε στο επόμενο στάδιο. Κρατήστε μια εκτύπωση του (με το όνομα και τον αριθμό ταυτότητας σας, και την ημέρα εργαστηρίου). Προσομοίωση: 20. Δημιουργήστε ένα αρχείο κυματομορφών εισόδου, επακριβώς σύμφωνα με την πιο κάτω εικόνα, διάρκειας 800ns και grid size 100ns, και αποθηκεύσετε το με το όνομα lab3_fas4.vwf στον κατάλογο όπου έχετε αποθηκεύσει τον σχεδιασμό σας. (Για να καθορίσετε τις τιμές των διαύλων εισόδου, π.χ. την τιμή 7 για την X[3..0] μεταξύ 0ns και 100ns, πρώτα ενεργοποιήστε το Snap to Grid (κάτω από το View menu) και ακολούθως επιλέξτε ένα διάστημα μιας κυματομορφής, π.χ. από 0ns ως 100ns της X[3..0], και κάντε πάνω σε αυτό διπλό κλικ. Θα ανοίξει το παράθυρο διαλόγου Arbitrary Value, όπου επιλέγετε Unsigned Decimal στο πεδίο Radix και, στην προκειμένη περίπτωση, την τιμή 7 στο πεδίο Numeric or named value. Πατήστε OK για να καθορίσετε την τιμή του διαστήματος. Επαναλάβετε για να συμπληρώσετε όλες τις τιμές των διαύλων εισόδου.) 21. Προσομοιώστε τον αθροιστή/αφαιρέτη 4 ων bit για 800ns με το πιο πάνω αρχείο ως είσοδο. Επιβεβαιώστε ότι έχετε επιλέξει Functional στο πεδίο Simulation mode. 22. Ελέγξτε τα αποτελέσματα και επιβεβαιώστε ότι ο σχεδιασμός σας λειτουργεί ορθά. 23. Τυπώστε τα αποτελέσματα της προσομοίωσης (με το όνομα και τον αριθμό ταυτότητας σας, και την ημέρα εργαστηρίου). V. Σχεδιασμός ενός Δυαδικού Πολλαπλασιαστή (4 bit x 2 bit) Σε αυτό το μέρος, θα σχεδιάσετε ένα δυαδικό πολλαπλασιαστή χρησιμοποιώντας τον πλήρη α- θροιστή/αφαιρέτη 4 ων bit, του προηγούμενου μέρους, ως βασικό δομικό στοιχείο. Αυτός ο πολ- 10

11 λαπλασιαστής θα υπολογίζει το γινόμενο δύο αριθμών, ενός 4 ων bit και ενός 2 bit. Χρησιμοποιώντας τον κλασσικό τρόπο υπολογισμού του γινομένου, θα διοχετεύονται στον πλήρη αθροιστή 4 ων bit τα τέσσερα πιο σημαντικά ψηφία των δύο ενδιάμεσων γινομένων, ανάλογα με τις τιμές των ψηφίων του 2-μπιτου αριθμού. Το μέγιστο γινόμενο θα είναι ο αριθμός (15x3) 45 και, άρα, το αποτέλεσμα του πολλαπλασιαστή θα αντιπροσωπεύεται συνολικά με 6 bits. Το πρώτο, λιγότερο σημαντικό ψηφίο του μπορεί εύκολα να δημιουργηθεί με μια πύλη and, όπου οι δύο είσοδοι της θα είναι τα αντίστοιχα, λιγότερο σημαντικά ψηφία των δύο πολλαπλασιαζόμενων αριθμών. Τα επόμενα 5 ψηφία του γινομένου θα υπολογίζονται από τον αθροιστή 4 ων bit που έχετε δημιουργήσει. Οι δύο προσθετέοι θα είναι τα τέσσερα πιο σημαντικά ψηφία των ενδιάμεσων γινομένων, τα οποία μπορούν εύκολα να υπολογιστούν, είτε με 2-σε-1 πολυπλέκτες (74LS157), είτε με πύλες and 2 εισόδων. Σημειώστε ότι θα γίνεται χρήση και του κρατούμενου εξόδου Cout του αθροιστή/αφαιρέτη 4 ων bit, ως το έκτο, πιο σημαντικό ψηφίο του γινομένου. 1. Σχεδιάσετε το κύκλωμα του πολλαπλασιαστή στον διαθέσιμο χώρο στο τέλος της εκφώνησης (κάνοντας χρήση συμβόλου που να αναπαριστά τον αθροιστή/αφαιρέτη 4 ων bit). 2. Δημιουργήστε το σύμβολο του σχεδιασμού lab3_fas4.bdf του πλήρη αθροιστή/αφαιρέτη 4 ων bit, από το προηγούμενο μέρος (χωρίς να κάνετε οποιαδήποτε αλλαγή). Το σύμβολο αυτό θα ενταχθεί στον σχεδιασμό του πολλαπλασιαστή. 3. Δημιουργήστε ένα νέο αρχείο σχεδιασμού με τ όνομα lab3_mul.bdf και καθορίστε το ως Top-Level Entity στο τρέχον project. Σχεδιάστε σ αυτό το κύκλωμα του πολλαπλασιαστή, σύμφωνα με τις προδιαγραφές και κάνοντας χρήση του συμβόλου του αθροιστή/αφαιρέτη 4 ων bit. Το κύκλωμα θα πρέπει να έχει τις εισόδους Α[3..0] και Β[1..0], και την έξοδο P[5..0], που θα δίνει το γινόμενο των δύο αριθμών της εισόδου. 4. Δημιουργήστε ένα αρχείο κυματομορφών εισόδου, επακριβώς σύμφωνα με την πιο κάτω εικόνα, διάρκειας 1000ns και grid size 100ns, με το όνομα lab3_mul.vwf. Προσομοιώστε το κύκλωμα του πολλαπλασιαστή με αυτό το αρχείο ως είσοδο, αφού πρώτα επιλέξετε Functional στο πεδίο Simulation mode. Επιβεβαιώστε ότι ο σχεδιασμός σας λειτουργεί ορθά. Τυπώστε τα αποτελέσματα της προσομοίωσης (με το όνομα και τον αριθμό ταυτότητας σας, και την ημέρα εργαστηρίου). Αναφορά Μέρους Α Η αναφορά σας πρέπει να περιλαμβάνει τον Πίνακα Αληθείας και τις εκφράσεις των ελαχιστόρων για τις ασκήσεις 1 και 2 στη σελίδα 3, τον σχεδιασμό με πολυπλέκτες από το Ι.1, τον σχεδιασμό με τον αποκωδικοποιητή από τα ΙΙ.1 και ΙΙ.2, τον σχεδιασμό του πολλαπλασιαστή από το V.1, τα σχηματικά από τα ΙΙΙ.14, IV.19 και V.3 (συμπεριλαμβανομένων των σχηματικών των συμβόλων), τα αποτελέσματα της προσομοίωσης από τα ΙΙI.18, IV.23 και V.4 (συμπεριλαμβανομένων των καθυστερήσεων από το III.22), και μια σύντομη περιγραφή και σχολιασμό της διαδικασίας και των αποτελεσμάτων, μαζί με παράθεση των κατάλληλων συμπερασμάτων. Ύλη σχετική για αυτό το Εργαστήριο (αποκωδικοποιητές, πολυπλέκτες, αθροιστές) υπάρχει στο βιβλίο σας (Mano & Kime, 2007), στις σελίδες , , και

12 X[3..0] Y[3..0] SUB INPUT VCC INPUT VCC INPUT VCC X[0] Y[0] (LSB) lab3_fas X Sum Y Cin Cout SUB Full Add/Sub inst (MSB) lab3_fas lab3_fas lab3_fas X[1] X[2] X[3] Z[0] X Z[1] X Z[2] X Z[3] Y[1] Sum Y[2] Sum Y[3] Sum Y Y Y Cin Cout Cin Cout Cin Cout SUB Full Add/Sub inst3 SUB Full Add/Sub inst4 SUB Full Add/Sub inst5 OUTPUT OUTPUT Z[3..0] Cout Σχηματικό Αθροιστή/Αφαιρέτη 4 ων bit 12

13 Όνομα: Αρ. Ταυτότητας: Ημέρα:

14 Όνομα: Αρ. Ταυτότητας: Ημέρα:

15 Όνομα: Αρ. Ταυτότητας: Ημέρα:

16 Όνομα: Αρ. Ταυτότητας: Ημέρα:

Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή

Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2005 Συνδιαστική Λογική µε Πολυπλέκτες και Αποκοδικοποιητές: Σχεδιασµός ενός Πλήρους Αθροιστή ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ 3

Διαβάστε περισσότερα

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ 3 Μέρος Α (Ι-V, προηγούμενο εργαστήριο λογισμικού) Βεβαιωθείτε

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Πλήρης Αθροιστής, Αποκωδικοποιητής και Πολυπλέκτης ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Λύσεις

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 Χειµερινό 23 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 υαδικός Αθροιστής, Πολυπλέκτες και Αποκωδικοποιητές Εβδοµάδα: 5 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 Χειµερινό 23 Στόχοι

Διαβάστε περισσότερα

Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153)

Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153) ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153) Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Γενικές Γραμμές Δυαδικοί Αριθμοί έναντι Δυαδικών Κωδίκων Δυαδικοί Αποκωδικοποιητές Υλοποίηση Συνδυαστικής Λογικής με Δυαδικό Αποκωδικοποιητή

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες

Εισαγωγή στις πύλες NAND, NOR και XOR. Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2007 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες ΕΡΓΑΣΤΗΡΙΑ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2008

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2008 ΗΜΥ 2: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο 28 Οκτ-8 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ Μιχαήλ Πανεπιστήμιο Κύπρου

Διαβάστε περισσότερα

ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ

ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΩΔΙΚΟΠΟΙΗΤΗΣ ΠΟΛΥΠΛΕΚΤΗΣ ΑΠΟΠΛΕΚΤΗΣ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ 1) Κωδικοποιητής Ο κωδικοποιητής

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2010 Εισαγωγή Προπαρασκευαστική παρουσίαση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Quartus II Στο εργαστήριο

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 6: Δυαδικές Πράξεις, Συμπλήρωμα του 2, Δυαδικοί Αποκωδικοποιητές, Κωδικοποιητές, Πολυπλέκτες Δρ. Μηνάς Δασυγένης @ieee.ormdasygg

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο Βασικές Συνδυαστικές Συναρτήσεις και. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο Βασικές Συνδυαστικές Συναρτήσεις και. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ 2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 29 Οκτ-9 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό μρ Εξάμηνο 29 Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ Μιχαήλ

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα 1 ΗΜΥ 2: Σχεδιασμός Ψηφιακών Συστημάτων Αυγ-3 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential).

Διαβάστε περισσότερα

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2007 Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητής Ριπής ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005. Στοιχειώδης Λογικές Συναρτήσεις

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005. Στοιχειώδης Λογικές Συναρτήσεις ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Μαρ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 4 -i: Βασικές Συνδυαστικές Συναρτήσεις και Κυκλώµατα Περίληψη Συναρτήσεις και συναρτησιακές (λειτουργικές)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX)

ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX) ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX) 8.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των πολυπλεκτών και αποπλεκτών και της χρήσης αυτών των ολοκληρωμένων κυκλωμάτων (Ο.Κ.)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 6 ΑΠΟΚΩΔΙΚΟΠΟΙΗΕΣ ( DECODERS )

ΑΣΚΗΣΗ 6 ΑΠΟΚΩΔΙΚΟΠΟΙΗΕΣ ( DECODERS ) 6.1. ΣΚΟΠΟΣ ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών ΑΣΚΗΣΗ 6 ΑΠΟΚΩΔΙΚΟΠΟΙΗΕΣ ( ECOERS ) Η κατανόηση της λειτουργίας των αποκωδικοποιητών και των εφαρμογών τους. 6.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ Ο

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής

Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Δυαδικές Μονάδες Μνήμης: Μανδαλωτής SR, D και JK Flip-Flops Σχεδιασμός Μετρητή Ριπής Εισαγωγή ΕΡΓΑΣΤΗΡΙΟ ΛΟΓΙΣΜΙΚΟΥ/ΥΛΙΚΟΥ

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση υαδική Πρόσθεση

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3 ΑΣΚΗΣΗ 5 ΑΘΡΟΙΣΤΕΣ - ΑΦΑΙΡΕΤΕΣ 5.1. ΣΚΟΠΟΣ Η πραγματοποίηση της αριθμητικής πρόσθεσης και αφαίρεσης με λογικά κυκλώματα. 5.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ: Κάθε σύστημα αρίθμησης χαρακτηρίζεται

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 2 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 26 ΔΙΑΛΕΞΗ 8: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Ι (Κεφάλαιο 4) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Συναρτήσεις

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps

Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Σύνθετα Συνδυαστικά Κυκλώµατα Πύλες AND Πύλες OR Πύλες NAND Τυχαία Λογική Πύλες NOR Πύλες XNOR Η ολοκληρωµένη

Διαβάστε περισσότερα

Αθροιστές. Ημιαθροιστής

Αθροιστές. Ημιαθροιστής Αθροιστές Η πιο βασική αριθμητική πράξη είναι η πρόσθεση. Για την πρόσθεση δύο δυαδικών ψηφίων υπάρχουν τέσσερις δυνατές περιπτώσεις: +=, +=, +=, +=. Οι τρεις πρώτες πράξεις δημιουργούν ένα άθροισμα που

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005. υαδική Αφαίρεση. υαδική Αφαίρεση (συν.) Ακόµη ένα παράδειγµα Αφαίρεσης.

Περίληψη. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005. υαδική Αφαίρεση. υαδική Αφαίρεση (συν.) Ακόµη ένα παράδειγµα Αφαίρεσης. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005 Κεφάλαιο 5 -ii: Αριθµητικές Συναρτήσεις και Κυκλώµατα Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Αφαίρεση δυαδικών Περίληψη

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX)

ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX) ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX) Αντικείμενο της άσκησης: Η κατανόηση των εννοιών πολύπλεξης - απόπλεξης, η σχεδίαση σε επίπεδο πυλών ενός πολυπλέκτη και εφαρμογές με τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2007 Εισαγωγή Προπαρασκευαστική Άσκηση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Max +Plus II Στο εργαστήριο ΗΜΥ

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων

K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Ένα ψηφιακό κύκλωμα με n εισόδους

Διαβάστε περισσότερα

6.1 Θεωρητική εισαγωγή

6.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 6 ΑΠΟΚΩ ΙΚΟΠΟΙΗΤΕΣ ΚΑΙ ΠΟΛΥΠΛΕΚΤΕΣ Σκοπός: Η κατανόηση της λειτουργίας των κυκλωµάτων ψηφιακής πολυπλεξίας και αποκωδικοποίησης και η εξοικείωση µε τους ολοκληρωµένους

Διαβάστε περισσότερα

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI υαδικός Αθροιστής & Αφαιρέτης A i B i FA S i C i C i+1 D Σειριακός Αθροιστής Σειριακός Αθροιστής: απαιτεί 1 πλήρη αθροιστή, 1 στοιχείο µνήµης και παράγει

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Λογισμικό Προσομοίωσης LogiSim καιχρήση KarnaughMaps Διδάσκοντες: Δρ. Αγαθοκλής Παπαδόπουλος & Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών

Διαβάστε περισσότερα

"My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Καραγιάννη Ελένη 1, Καραγιαννάκη Μαρία-Ελένη 2, Βασιλειάδης Αθανάσιος 3, Κωστουλίδης Αναστάσιος-Συμεών 4, Μουτεβελίδης Ιωάννης-Παναγιώτης 5,

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

Περιεχόµενα. Στοιχειώδης Λογικές Συναρτήσεις. Αποκωδικοποίηση (Decoding) Ενεργοποίηση Συνάρτησης (Enabling)

Περιεχόµενα. Στοιχειώδης Λογικές Συναρτήσεις. Αποκωδικοποίηση (Decoding) Ενεργοποίηση Συνάρτησης (Enabling) Περιεχόµενα Κεφάλαιο 4: Συνδυαστικές Συναρτήσεις και Κυκλώµατα Συναρτήσεις και µονάδες συναρτήσεων Στοιχειώδες λογικές συναρτήσεις Αποκωδικοποίησης Κωδικοποίηση Επιλογή (πολυπλέκτης) Chapter 4 Chapter

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch 9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας Θεσσαλονίκη, 25-28 Απριλίου 2017, ΝΟΗΣΙΣ "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Κωνσταντίνος Παρασκευόπουλος Καθηγητής Πληροφορικής

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Προσημασμένοι Ακέραιοι Δυαδικοί Αριθμοί Ημιαθροιστής - Ημιαφαιρέτης Πλήρης Αθροιστής - Πλήρης Αφαιρέτης Αθροιστής Διάδοσης Κρατούμενου Επαναληπτικές

Διαβάστε περισσότερα

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1 Άσκηση 1 Οι λύσεις απαντήσεις που προτείνονται είναι ενδεικτικές και θα πρέπει να προσθέσετε Α) Αρχικά σχεδιάζουμε τον πίνακα αληθείας της λογικής έκφρασης: w x y z x G1 =x y G2 =z w F = G1 G2 Είσοδοι

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου Ψηφιακή Σχεδίαση Εργαστηριο 1 Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου ΛΟΓΙΚΕΣ ΠΥΛΕΣ ΕΡΓΑΛΕΙΑ ΕΡΓΑΣΤΗΡΙΟ Το εργαλείο που θα χρησιμοποιηθεί

Διαβάστε περισσότερα

Δείγμα Τελικής Εξέτασης στο ΗΜΥ213. Διδάσκοντας: Γιώργος Ζάγγουλος

Δείγμα Τελικής Εξέτασης στο ΗΜΥ213. Διδάσκοντας: Γιώργος Ζάγγουλος ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών (ΗΜΜΥ) Δείγμα Τελικής Εξέτασης στο ΗΜΥ213 Διδάσκοντας: Γιώργος Ζάγγουλος Οδηγίες Διαβάστε Προσεκτικά! Αυτή η εξέταση γίνεται με

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Ψηφιακής Σχεδίασης ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ Εργαστήριο Ψηφιακής Σχεδίασης 8 Εργαστηριακές Ασκήσεις Χρ. Καβουσιανός Επίκουρος Καθηγητής 2014 Εργαστηριακές Ασκήσεις Ψηφιακής Σχεδίασης 2 Εργαστηριακές Ασκήσεις

Διαβάστε περισσότερα

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων.

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων. ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων. Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος

Διαβάστε περισσότερα

f(x, y, z) = y z + xz

f(x, y, z) = y z + xz Λύσεις θεμάτων Εξεταστικής Περιόδου Ιανουαρίου Φεβρουαρίου 27 ΘΕΜΑ Ο (2, μονάδες) Δίνεται η λογική συνάρτηση : f (, y, z ) = ( + y )(y + z ) + y z. Να συμπληρωθεί ο πίνακας αλήθειας της συνάρτησης. (,

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ 1. Να μετατρέψετε τον δεκαδικό 16.25 σε δυαδικό. 2. Να μετατρέψετε τον δεκαδικό 18.75 σε δυαδικό και τον δεκαδικό 268 σε δεκαεξαδικό. 3. Να βρεθεί η βάση εκείνου του αριθμητικού

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ 7 ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCODERS )

ΑΣΚΗΣΗ 7 ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ 7 ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCODERS ) ΑΣΚΗΣΗ ΚΩΔΙΚΕΣ Η ΟΘΟΝΗ ΤΜΗΜΑΤΩΝ - ΚΩΔΙΚΟΠΟΙΗTΕΣ ( ENCOERS ).. ΣΚΟΠΟΣ Η κατανόηση των κωδίκων των ψηφίων του δεκαδικού αριθμητικού συστήματος, της λειτουργίας των κωδικοποιητών και των εφαρμογών τους και

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές ) http://di.ionio.gr/~mistral/tp/csintro/ Αριθμοί Πράξεις με δυαδικούς αριθμούς

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http:

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές 12 ο Μάθημα Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ E-mail: leo@mail.ntua.gr URL: http://users.ntua.gr/leo 1 GROUP I A Λ ΤΡΙΤΗ PC-Lab GROUP IΙ Μ Ω ΠΑΡΑΣΚΕΥΗ Central Κέντρο

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 3 η Εργαστηριακή Άσκηση Σχεδίαση και Υλοποίηση μίας ALU δύο εισόδων VHDL Εργαστήριο_2 2012-2013 1 Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 9: Σχεδιασµός Συνδυαστικών Κυκλωµάτων ΙΙ (Κεφάλαιο 5) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων. Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 100 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Συστήματα αρίθμησης Δυαδικό αριθμητικό

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός

Διαβάστε περισσότερα

Ενότητα 4 ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΔΥΟ ΕΠΙΠΕΔΩΝ

Ενότητα 4 ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΔΥΟ ΕΠΙΠΕΔΩΝ Ενότητα 4 ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΔΥΟ ΕΠΙΠΕΔΩΝ Γενικές Γραμμές Λογικές Συναρτήσεις 2 Επιπέδων Συμπλήρωμα Λογικής Συνάρτησης Πίνακας Αλήθειας Κανονική Μορφή Αθροίσματος Γινομένων Λίστα Ελαχιστόρων

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Αγαθοκλής Παπαδόπουλος και Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ ΠΡΑΞΕΙΣ ΜΕ ΠΡΟΣΗΜΑΣΜΕΝΟΥΣ ΑΡΙΘΜΟΥΣ ΚΥΚΛΩΜΑΤΙΚΕΣ ΕΦΑΡΜΟΓΕΣ ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ & ΠΑΡΑΣΤΑΣΗ ΑΡΙΘΜΩΝ Συμπλήρωμα ως προς 2 Booth, Modified Booth Reduntant αριθμητικά συστήματα Signed Digit αριθμητική Κανονική

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Απαντήσεις 1. Η παραγγελία είναι σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες η οποία μπορεί να αναλυθεί ως σάντουιτς ή (σουβλάκι και τηγανητές πατάτες)

Διαβάστε περισσότερα

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος

ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο Διδάσκων: Γιώργος Ζάγγουλος ΗΜΥ 213 Εργαστήριο Οργάνωσης Η/Υ και Μικροεπεξεργαστών Εαρινό εξάμηνο 2011-2012 Διδάσκων: Γιώργος Ζάγγουλος Βοήθημα για το Πρόγραμμα Modelsim-Altera και την χρησιμοποίηση του μέσα από το Quartus για εκτέλεση

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 3. Λογικές Πράξεις & Λογικές Πύλες

Ψηφιακά Συστήματα. 3. Λογικές Πράξεις & Λογικές Πύλες Ψηφιακά Συστήματα 3. Λογικές Πράξεις & Λογικές Πύλες Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 3 ΣΥΝΔΥΑΣΤΙΚΑ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ: ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ

ΑΣΚΗΣΗ 3 ΣΥΝΔΥΑΣΤΙΚΑ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ: ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΗ 3 ΣΥΝΔΥΑΣΤΙΚΑ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ: ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Αντικείμενο της άσκησης: Μεθοδολογία ανάλυσης και σχεδίασης συνδυαστικών λογικών κυκλωμάτων και λειτουργική εξομοίωση με το λογισμικό EWB. Συνδυαστικά

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα