ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Εργαστηριακές Ασκήσεις

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Εργαστηριακές Ασκήσεις"

Transcript

1 ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Λογική Σχεδίαση Εργαστηριακές Ασκήσεις Οκτώβριος 2008

2 Περιεχόµενα Άσκηση 1: Εισαγωγικό Εργαστήριο... 3 Άσκηση 2: Πολυπλέκτες Άσκηση 3: Αθροιστές Άσκηση 4: Latches και flip-flops Παράρτηµα: Εξοπλισµός Εργαστηρίου

3 ΑΣΚΗΣΗ 1 Εισαγωγικό Εργαστήριο Περιγραφή ηµιουργήστε ένα συνδυαστικό κύκλωµα 3 εισόδων το οποίο να υλοποιεί την ακόλουθη συνάρτηση: D = AB + C Ακολουθήστε τα παρακάτω βήµατα: ηµιουργήστε ένα νέο project Προσθέστε ένα schematic αρχείο Αντιστοιχίστε τις εισόδους A, B, C και την έξοδο D σε pins του FPGA (τα οποία συνδέονται µε κυκλώµατα εισόδου και εξόδου της πλακέτας XSA-50) Μεταφέρετε το κύκλωµα στην XSA-50 Ελέγξετε το αποτέλεσµα 1. ηµιουργία νέου Project Ξεκινήστε την εφαρµογή ISE (µε διπλό κλικ στο εικονίδιο του Project Navigator). Για να δηµιουργήσετε ένα νέο project χρησιµοποιείστε το µενού File -> New Project. 3

4 Στο παράθυρο που θα εµφανιστεί επιλέξτε ένα όνοµα για το project σας και τον κατάλογο του δίσκου που θα το αποθηκεύσετε. Για τις ανάγκες του σηµερινού εργαστηρίου θα πρέπει να επιλέξετε Schematic σαν Top-Level Module Type. Μετά την επιλογή του πλήκτρου Next εµφανίζεται ένα παράθυρο όπου πρέπει να εισάγετε κάποιες χαρακτηριστικές τιµές του FPGA που θα χρησιµοποιήσετε. Αυτές είναι: Device Family Device Package Speed Grade Spartan2 xc2s50 tq144-5 Στη συνέχεια επιλέξτε Next. 4

5 Στο παράθυρο που ακολουθεί επιλέξτε πάλι Next. Θα εισάγουµε το αρχείο µε το σχηµατικό του κυκλώµατος στη συνέχεια. Επιλέγοντας Next, θα δείτε µια σύνοψη των επιλογών που έχετε κάνει µέχρι αυτή τη στιγµή. Επιλέξτε το Finish. 5

6 2. ηµιουργία του σχηµατικού του κυκλώµατος Στο σηµείο αυτό το παράθυρο του Project Navigator πρέπει να έχει τη µορφή: Επιλέξτε το xc2s50-5tq144 και δηµιουργήστε ένα νέο αρχείο πηγαίου κώδικα είτε µε διπλό κλικ στο Create New Source είτε µε δεξί κλικ και επιλέγοντας το New Source. Θα δείτε το παράθυρο που ακολουθεί: 6

7 Επιλέξτε Schematic από το αριστερό µενού και δώστε το όνοµα lab1_part1_sch στο πεδίο FileName. Το ακόλουθο παράθυρο σχεδίασης θα εµφανισθεί επιλέγοντας το Next : Επιλέξτε το tab Symbols (στο αριστερό τµήµα του πάνω παράθυρου). Εµφανίζονται δύο λίστες µε κατηγορίες (επάνω) και µε σύµβολα (κάτω). 7

8 Για να υλοποιήσετε την συνάρτηση D = AB + C θα χρειαστείτε µια πύλη AND δύο εισόδων, έναν αντιστροφέα (inv) και µια πύλη OR δύο εισόδων. Από τη λίστα των Symbols επιλέξτε το and2, πηγαίνετε στην επιφάνεια σχεδίασης και µε ένα κλικ δηµιουργήστε µια πύλη AND. Μπορείτε να µεγεθύνετε ή να σµικρύνετε την επιφάνεια σχεδίασης µε τα πλήκτρα + και της µπάρας εργαλείων. Στη συνέχεια επιλέξτε το σύµβολο or2 και δηµιουργήστε µε τον ίδιο τρόπο µια πύλη OR στην επιφάνεια σχεδίασης. Επαναλάβετε τη διαδικασία και για το σύµβολο inv (αντιστροφέας). Στο σηµείο αυτό η επιφάνεια σχεδίασης θα έχει τη µορφή: 8

9 Για να συνδέσετε τα στοιχεία που επιλέξατε µεταξύ τους, επιλέξτε το εικονίδιο από τη µπάρα εργαλείων. Με τη χρήση του µολυβιού δηµιουργήστε το κύκλωµα όπως φαίνεται στο παράθυρο που ακολουθεί. Επιλέξτε το εικονίδιο Add I/O Marker από τη µπάρα εργαλείων για να προσθέσετε τα στοιχεία εισόδου για κάθε µία από τις τρεις εισόδους καθώς και ένα στοιχείο εξόδου στην έξοδο της πύλης OR. Μετονοµάστε τις εισόδους και την έξοδο είτε κάνοντας διπλό κλικ σε αυτές είτε µε δεξί κλικ. Οι είσοδοι πρέπει να λέγονται A, B και C και η έξοδος D, όπως φαίνεται στο επόµενο σχήµα. 9

10 Στην µπάρα των εργαλείων υπάρχει το εικονίδιο. Επιλέγοντας το, διενεργείται έλεγχος του κυκλώµατος που σχεδιάσατε. εν πρέπει να υπάρχει κανένα λάθος. Αν υπάρχει, θα πρέπει να τα διορθώσετε πριν συνεχίσετε. 3. Αντιστοίχιση των pins του κυκλώµατος σε pins του FPGA Επιστρέψτε στο Project Navigator και επιλέξτε το αρχείο που δηµιουργήσατε. Επιλέξτε τη διαδικασία Assign Package Pins από την κατηγορία διεργασιών User Constraints. Απαντήστε θετικά στο ερώτηµα που εµφανίζεται για την προσθήκη ενός αρχείου περιορισµών. Μπορείτε να φτάσετε στο ίδιο σηµείο µε την επιλογή Create New Source. Στο σηµείο αυτό εµφανίζεται ο επεξεργαστής των περιορισµών: 10

11 Οι είσοδοι A, B, C και η έξοδος D εµφανίζονται. Πρέπει να αντιστοιχίσετε σε αυτές κάποια pins από το FPGA chip. Επιλέξτε τις τιµές του ακόλουθου πίνακα. FPGA Pins (Pxx) A P54 B P64 C P63 D P67 Τα τρία πρώτα pins (είσοδοι Α, B και C) συνδέονται στα dip switches 1, 2 και 3 της πλακέτας. Το τέταρτο pin (έξοδος D) συνδέεται στο κάτω LED του 7-segment display (S0, bottom bar). 11

12 Αποθηκεύστε τις αντιστοιχίσεις των pin που εισαγάγατε στην εφαρµογή PACE. Αυτές καταχωρούνται σε ένα αρχείο κειµένου (text file), το οποίο µπορείτε να το επεξεργαστείτε και µε την επιλογή Edit Constraints (text). #PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments NET "A" LOC = "P54" ; NET "B" LOC = "P64" ; NET "C" LOC = "P63" ; NET "D" LOC = "P67" ; #PACE: Start of PACE Area Constraints #PACE: Start of PACE Prohibit Constraints #PACE: End of Constraints generated by PACE 4. ηµιουργία του αρχείου για το προγραµµατισµό του FPGA (bitstream) Κάντε διπλό κλικ στην επιλογή Generate Programming File (εκτελούνται επίσης οι διαδικασίες Synthesize και Implement Design) ώστε να παραχθεί στον κατάλογο που δουλεύετε ένα αρχείο µε επέκταση.bit. Το αρχείο αυτό θα χρησιµοποιηθεί για τον προγραµµατισµό του FPGA. 5. Μεταφόρτωση του αρχείου bitstream στο FPGA Χρησιµοποιείστε την εφαρµογή GXSLOAD για να προγραµµατίσετε την XSA-50. Απλώς σύρετε το.bit αρχείο στο πλαίσιο FPGA/CPLD και κατόπιν πατήστε το κουµπί "Load". 12

13 6. Έλεγχος Χρησιµοποιήστε τους τρεις πρώτους διακόπτες της πλακέτας και ελέγξτε την έξοδο από το LED. Είναι αυτή που περιµένατε; Θυµηθείτε ότι οι διακόπτες είναι κατασκευασµένοι έτσι ώστε όταν είναι στη θέση 1 να είναι συνδεδεµένοι µε τη γείωση (low) και όταν είναι στη θέση 0 να είναι συνδεδεµένοι µε την τροφοδοσία (high). Επαληθεύστε τις τιµές του ακόλουθου πίνακα: C B A D = AB + C Παραδοτέα Αναφορά στην οποία θα περιέχεται το λογικό διάγραµµα του ζητούµενου κυκλώµατος, συνοδευόµενο απαραιτήτως από µία µικρή περιγραφή που θα εξηγεί τον τρόπο λειτουργίας του. Σηµειώνεται ότι οι αναφορές δεν είναι ατοµικές, αλλά κάθε οµάδα θα πρέπει να παραδώσει µία µόνο αναφορά στην οποία θα αναγράφονται τα ονόµατα και των δύο συνεργατών. 13

14 ΑΣΚΗΣΗ 2 Πολυπλέκτες Ερωτήµατα 1. Χρησιµοποιώντας απλές πύλες (και όχι τα έτοιµα σύµβολα του εργαλείου της Xilinx) να σχεδιάσετε έναν πολυπλέκτη 2-σε-1. Για να επιβεβαιώσετε τη λειτουργία του στην πλακέτα, αναθέστε τα pins ως ακολούθως: Την έξοδο του πολυπλέκτη στο P60 (δηλ., στο µεσαίο led του 7-segment display) Την είσοδο επιλογής στο P54 (δηλ., στο διακόπτη 1 της πλακέτας) Τις άλλες δύο εισόδους του πολυπλέκτη (αυτές που οδηγούνται στην έξοδο ανάλογα µε την τιµή της εισόδου επιλογής), να τις αναθέσετε στα P50 και P48. Οι γραµµές αυτές οδηγούνται από την παράλληλη θύρα του υπολογιστή (µέσω του καλωδίου που προγραµµατίζεται το FPGA) χρησιµοποιώντας την εφαρµογή "GXSPort". Συγκεκριµένα, τα pin P50 και P48 οδηγούνται από τα bit D0 και D1 αντίστοιχα, του "GXSPort". Προσοχή: Στην εφαρµογή "GXSPort" δεν πρέπει να αλλάξετε ποτέ την τιµή του bit D7. 2. Αφού δηµιουργήσετε ένα νέο project, σχεδιάστε έναν πολυπλέκτη 4-σε-1 χρησιµοποιώντας απλές πύλες (και όχι τα έτοιµα σύµβολα του εργαλείου της Xilinx). Για να επιβεβαιώσετε τη λειτουργία του στην πλακέτα, αναθέστε τα pins ως ακολούθως: Την έξοδο του πολυπλέκτη στο P60 (δηλ., στο µεσαίο led του 7-segment display) Τις δύο εισόδους επιλογής στα P54 και P64 (δηλ., στους διακόπτες 1 και 2 της πλακέτας) Τις υπόλοιπες τέσσερις εισόδους του πολυπλέκτη (αυτές που οδηγούνται στην έξοδο ανάλογα µε την τιµή των εισόδων επιλογής), να τις αναθέσετε στα P50, P48, P42 και P47. Τα pin αυτά οδηγούνται από τα bit D0, D1, D2 και D3 αντίστοιχα, της εφαρµογής "GXSPort". 3. ηµιουργήστε ένα νέο project στο Xilinx ISE και, χρησιµοποιώντας τους πολυπλέκτες 2-σε-1 του Ερωτήµατος 1, σχεδιάστε έναν πολυπλέκτη 8-σε-1. Για να χρησιµοποιήσετε το σχηµατικό του Ερωτήµατος 1 στο νέο σας project, θα πρέπει να ακολουθήσετε τα παρακάτω βήµατα: Αφού δηµιουργήσετε το project σας στο Xilinx ISE, αντιγράψτε στον κατάλογό του το αρχείο του σχηµατικού του Ερωτήµατος 1 (αυτό µε την επέκταση.sch). Στη συνέχεια, στο παράθυρο "Sources in Project:" του Xilinx ISE (πάνω αριστερά) κάντε δεξί click 14

15 στο "xc2s50-5tq144" και στο µενού που εµφανίζεται επιλέξτε "Add Source...". Μπορείτε πλέον να επιλέξετε το.sch αρχείο που είχατε αντιγράψει στο φάκελο, και να το προσθέτετε στο project σας. Στη συνέχεια θα πρέπει να δηµιουργήσετε ένα σύµβολο για τον πολυπλέκτη 2-σε-1, ώστε αυτός να µπορεί να χρησιµοποιηθεί σε κάποιο άλλο σχηµατικό. Για να το κάνετε αυτό, επιλέξτε τον πολυπλέκτη 2-σε-1 στο παράθυρο "Sources in Project:" και στο παράθυρο "Processes" που βρίσκεται ακριβώς από κάτω εκτελέστε τη διεργασία "Create Schematic Symbol" (ανήκει στην κατηγορία διεργασιών "Design Utilities"). Πλέον µπορείτε να δηµιουργήσετε ένα νέο αρχείο σχηµατικού (κάνοντας δεξί click στο "xc2s50-5tq144" του παραθύρου "Sources in Project:" και επιλέγοντας "New Source..."), στο οποίο θα σχεδιάσετε τον πολυπλέκτη 8-σε-1. Όταν ανοίξετε το νέο αυτό αρχείο, στις κατηγορίες συµβόλων του εργαλείου σχεδίασης θα έχει προστεθεί και ο κατάλογος του project σας. Αν τον επιλέξετε, θα βρείτε µέσα το σύµβολο του πολυπλέκτη 2-σε-1 που δηµιουργήσατε προηγουµένως. Χρησιµοποιήστε το σύµβολο αυτό (µε τον ίδιο ακριβώς τρόπο που χρησιµοποιείτε τα έτοιµα σύµβολα του εργαλείου), για να φτιάξετε τον πολυπλέκτη 8-σε-1. Υπόδειξη: Χρειάζεστε 7 πολυπλέκτες 2-σε-1 για να φτιάξετε έναν πολυπλέκτη 8-σε-1. Κατά τη διαδικασία του pin-assignment κάντε τις ακόλουθες αναθέσεις: Την έξοδο του πολυπλέκτη στο P60 (δηλ., στο µεσαίο led του 7-segment display) Τις τρεις εισόδους επιλογής στα P54, P64 και P63 (δηλ., στους διακόπτες 1, 2 και 3 της πλακέτας) Τις υπόλοιπες οκτώ εισόδους του πολυπλέκτη (αυτές που οδηγούνται στην έξοδο ανάλογα µε την τιµή των εισόδων επιλογής), να τις αναθέσετε στα P50, P48, P42, P47, P65, P51, P58 και P56. Από τα pin αυτά, τα επτά πρώτα οδηγούνται αντίστοιχα από τα bit D0, D1, D2, D3, D4, D5 και D6 της εφαρµογής "GXSPort", ενώ το P56 οδηγείται από τον τέταρτο διακόπτη της πλακέτας. Παραδοτέα Αναφορά στην οποία θα περιέχονται τα λογικά διαγράµµατα όλων των ζητούµενων κυκλωµάτων, συνοδευόµενα απαραιτήτως από µία µικρή περιγραφή που θα εξηγεί τον τρόπο λειτουργίας καθενός από αυτά. Για την περιγραφή των κυκλωµάτων δεν είναι υποχρεωτικό να χρησιµοποιήσετε πίνακες αλήθειας. Οι πίνακες αλήθειας πάντως δεν µπορούν να αντικαταστήσουν τη ζητούµενη περιγραφή. Σηµειώνεται ότι οι αναφορές δεν είναι ατοµικές, αλλά κάθε οµάδα θα πρέπει να παραδώσει µία µόνο αναφορά στην οποία θα αναγράφονται τα ονόµατα και των δύο συνεργατών. 15

16 ΑΣΚΗΣΗ 3 Αθροιστές Ερωτήµατα 1. Χρησιµοποιώντας απλές πύλες (και όχι τα έτοιµα σύµβολα του εργαλείου της Xilinx) να σχεδιάσετε έναν πλήρη αθροιστή (Full Adder). Για να επιβεβαιώσετε τη λειτουργία του στην πλακέτα, αναθέστε τα pins ως ακολούθως: Τις τρεις εισόδους του πλήρη αθροιστή να τις αναθέσετε στα pins P50, P48 και P42 του FPGA, τα οποία οδηγούνται από τα bits D0, D1 και D2 αντίστοιχα της εφαρµογής "GXSPort". Την έξοδο αθροίσµατος να την αναθέσετε στο P60 (δηλ., στο µεσαίο led του 7- segment display). Την έξοδο κρατουµένου του πλήρη αθροιστή να την αναθέσετε στο P44 (δηλ., στην τελεία του 7-segment display). 2. ηµιουργήστε ένα νέο project και, χρησιµοποιώντας σαν δοµικό στοιχείο τον πλήρη αθροιστή του Ερωτήµατος 1 (µε τον ίδιο ακριβώς τρόπο που χρησιµοποιήσατε τον πολυπλέκτη 2-σε-1 στο Ερώτηµα 3 της Άσκησης 2), να σχεδιάσετε έναν 3-bit αθροιστή µη προσηµασµένων ακέραιων αριθµών. Ένας τέτοιος αθροιστής δέχεται σαν είσοδο δύο ακέραιους αριθµούς εύρους 3 bits και παράγει στην έξοδο το άθροισµά τους (εύρους 4 bits). Με τον όρο «µη προσηµασµένους αριθµούς» εννοούµε ότι οι αριθµοί εκλαµβάνονται πάντα σαν θετικοί (π.χ., ο 111 είναι ο αριθµός 7 και όχι ο -1 όπως συµβαίνει στην αναπαράσταση συµπληρώµατος ως προς 2). Για να επιβεβαιώσετε τη λειτουργία του αθροιστή στην πλακέτα, αναθέστε τα pins ως ακολούθως: Τα bits της µίας από τις δύο εισόδους του αθροιστή (δηλαδή του ενός από τους δύο ακέραιους αριθµούς που θα αθροιστούν) να τα αναθέσετε (ξεκινώντας από το λιγότερο σηµαντικό ψηφίο) στα pins P50, P48 και P42 (δηλ., στα bits D0, D1 και D2 αντίστοιχα, του "GXSPort"). Τα bits της δεύτερης εισόδου του αθροιστή (δηλαδή του δεύτερου από τους δύο ακέραιους αριθµούς που θα αθροιστούν) να τα αναθέσετε (ξεκινώντας από το λιγότερο σηµαντικό ψηφίο) στα pins P47, P65 και P51 (δηλ., στα bits D3, D4 και D5 αντίστοιχα, του "GXSPort"). 16

17 Τα 4 bits του αθροίσµατος να τα αναθέσετε (ξεκινώντας από το λιγότερο σηµαντικό ψηφίο) στα pins P49, P60, P67 και P44 (δηλ., στο πάνω, στο µεσαίο και στο κάτω led, καθώς και στην τελεία του 7-segment display αντίστοιχα). Παραδοτέα Αναφορά στην οποία θα περιέχονται τα λογικά διαγράµµατα όλων των ζητούµενων κυκλωµάτων, συνοδευόµενα απαραιτήτως από µία µικρή περιγραφή που θα εξηγεί τον τρόπο λειτουργίας καθενός από αυτά. Για τον πλήρη αθροιστή (Ερώτηµα 1) είναι απαραίτητος και ο πίνακας αλήθειας, ενώ η περιγραφή της λειτουργίας του θα πρέπει να επικεντρώνεται στο πως µεταβάλλονται οι τιµές των εξόδων ανάλογα µε το πλήθος των µονάδων που εµφανίζονται στις εισόδους. Για το Ερώτηµα 2 ζητείται µόνο η περιγραφή της λειτουργίας του αθροιστή και όχι ο πίνακας αλήθειας. Σηµειώνεται ότι οι αναφορές δεν είναι ατοµικές, αλλά κάθε οµάδα θα πρέπει να παραδώσει µία µόνο αναφορά στην οποία θα αναγράφονται τα ονόµατα και των δύο συνεργατών. 17

18 ΑΣΚΗΣΗ 4 Latches και flip-flops Ερωτήµατα 1. Το λογικό διάγραµµα ενός D latch (µανδαλωτή) σε CMOS VLSI τεχνολογία φαίνεται στο ακόλουθο σχήµα (το λόγο για τον οποίο το D latch κατασκευάζεται κατά αυτόν τον τρόπο θα τον µάθετε στο µάθηµα του VLSI): D CLK 1 0 Q Q Ακολουθώντας την ίδια διαδικασία µε την 1η άσκηση, δηµιουργήστε το σχηµατικό του D latch, συνθέστε το δηµιουργώντας το αρχείο προγραµµατισµού του FPGA και επιβεβαιώστε τη λειτουργία του στην πλακέτα. Υποδείξεις: Για την υλοποίηση του πολυπλέκτη χρησιµοποιήστε το έτοιµο σύµβολο m2_1 του σχεδιαστικού περιβάλλοντος της εφαρµογής Xilinx ISE. Κατά τη διαδικασία του pin-assignment χρησιµοποιήστε τα ακόλουθα pins του FPGA: H είσοδος D στο P54 (δηλ., στo διακόπτη 1 της πλακέτας) Η είσοδος CLK στο P50 (δηλ., στo bit D0 του "GXSPort") H έξοδος Q στο P49 (δηλ., στο πάνω led του 7-segment display) H έξοδος Q' στο P67 (δηλ., στο κάτω led του 7-segment display) Αυτό που θα πρέπει να παρατηρήσετε είναι ότι όταν CLK = 1 η τιµή της εισόδου αποθηκεύεται στο latch και είναι παρατηρήσιµη στην έξοδο, ενώ αντίθετα όταν CLK = 0, το D latch διατηρεί την προηγούµενή του κατάσταση και κατά συνέπεια η έξοδος δεν επηρεάζεται από οποιαδήποτε αλλαγή στην είσοδο. 2. Το D flip-flop κατασκευάζεται χρησιµοποιώντας δύο D latches που λαµβάνουν αντίστροφα ρολόγια, µε την έξοδο του ενός να τροφοδοτεί την είσοδο του άλλου (master-slave): 18

19 CLK D Q ηµιουργήστε ένα νέο project στο Xilinx ISE, σχεδιάστε το D flip-flop και επιβεβαιώστε τη λειτουργία του στην πλακέτα. Υποδείξεις: Κατά τη διαδικασία του pin-assignment χρησιµοποιήστε τα ακόλουθα pins του FPGA: H είσοδος D στο P54 (δηλ., στo διακόπτη 1 της πλακέτας) Η είσοδος CLK στο P50 (δηλ., στo bit D0 του "GXSPort") H έξοδος Q στο P60 (δηλ., στο µεσαίο led του 7-segment display) Η λειτουργία του D flip-flop θετικής ακµής πυροδότησης (σαν αυτό που φαίνεται στο παραπάνω σχήµα) είναι η ακόλουθη: Η τιµή της εισόδου αποθηκεύεται στο flip-flop κατά τη µετάβαση του ρολογιού από το 0 στο 1 (θετική ακµή), ενώ σε οποιαδήποτε άλλη χρονική στιγµή το flip-flop διατηρεί την προηγούµενή του κατάσταση. 3. ηµιουργήστε ένα νέο project στο Xilinx ISE και σχεδιάστε ένα D flip-flop θετικής ακµής πυροδότησης µε σύγχρονο reset. Ένα τέτοιο flip-flop κατασκευάζεται χρησιµοποιώντας ένα flip-flop σαν αυτό του ερωτήµατος 2 µε έναν επιπλέον πολυπλέκτη 2-σε-1 στην είσοδο. Ο επιπλέον αυτός πολυπλέκτης ελέγχεται από το σήµα reset. Όταν reset = 1 τότε στην είσοδο του flip-flop οδηγείται το 0, ενώ όταν reset = 0 στην είσοδο του flip-flop οδηγείται κανονικά η είσοδος δεδοµένων (D). Υποδείξεις: Για να µην σχεδιάζετε το flip-flop του ερωτήµατος 2 από την αρχή, αφού δηµιουργήσετε ένα καινούριο project στο Xilinx ISE, αντιγράψτε το αρχείο του σχηµατικού του ερωτήµατος 2 (αυτό µε την επέκταση.sch) στον κατάλογο του νέου σας project. Στη συνέχεια, στο παράθυρο "Sources in Project:" (πάνω αριστερά) κάντε δεξί click στο "xc2s50-5tq144" και στο µενού που εµφανίζεται επιλέξτε "Add Source...". Αφού επιλέξετε το.sch αρχείο που είχατε αντιγράψει στο φάκελο, το προσθέτετε στο project σας και µπορείτε πλέον να δουλέψετε µε αυτό. Για να οδηγήσετε το 0 στη µία από τις δύο εισόδους του επιπλέον πολυπλέκτη, συνδέστε την είσοδο αυτή µε το έτοιµο σύµβολο gnd του σχεδιαστικού περιβάλλοντος της εφαρµογής Xilinx ISE. 19

20 Χρησιµοποιήστε το ίδιο pin-assignment µε αυτό του ερωτήµατος 2, ενώ την επιπλέον είσοδο reset συνδέστε τη στο P56 (δηλαδή στο διακόπτη 4 της πλακέτας). Το D flip-flop που κατασκευάσατε δουλεύει ακριβώς όπως αυτό του ερωτήµατος 2 όταν reset = 0, ενώ όταν reset = 1 η θετική ακµή του ρολογιού µηδενίζει το flip-flop ανεξάρτητα από την τιµή της εισόδου D. Παραδοτέα Αναφορά στην οποία θα περιέχονται τα λογικά διαγράµµατα όλων των ζητούµενων κυκλωµάτων, συνοδευόµενα απαραιτήτως από µία µικρή περιγραφή που θα εξηγεί τον τρόπο λειτουργίας καθενός από αυτά. Για την περιγραφή των κυκλωµάτων δεν είναι υποχρεωτικό να χρησιµοποιήσετε πίνακες αλήθειας. Οι πίνακες αλήθειας πάντως δεν µπορούν να αντικαταστήσουν τη ζητούµενη περιγραφή. Σηµειώνεται ότι οι αναφορές δεν είναι ατοµικές, αλλά κάθε οµάδα θα πρέπει να παραδώσει µία µόνο αναφορά στην οποία θα αναγράφονται τα ονόµατα και των δύο συνεργατών. 20

21 ΠΑΡΑΡΤΗΜΑ Εξοπλισµός Εργαστηρίου Τα εργαστήρια ψηφιακής σχεδίασης πραγµατοποιούνται χρησιµοποιώντας την πλακέτα XSA-50, η οποία είναι εφοδιασµένη µε ένα FPGA chip της εταιρείας Xilinx. Η πλακέτα δέχεται τροφοδοσία 9V DC, ενώ για την υλοποίηση όχι πολύ γρήγορων κυκλωµάτων µπορεί να τροφοδοτηθεί και µέσω της εισόδου PS-2. Οι τάσεις που απαιτούνται από τα επιµέρους στοιχεία της πλακέτας δηµιουργούνται από τους σταθεροποιητές τάσης (voltage regulators). Προσέξτε, κατά τη λειτουργία της πλακέτας οι σταθεροποιητές θερµαίνονται αρκετά. Για την τροφοδοσία της πλακέτας µέσω της θύρας PS-2 πρέπει να τοποθετηθεί βραχυκυκλωτήρας (jumper) µεταξύ των pins 1 και 2 του J7. H πλακέτα XSA-50 συνδέεται µέσω της παράλληλης θύρας µε ένα PC. Μέσω κατάλληλου λογισµικού (XSTools) µπορεί να γίνει η µεταφορά και ο έλεγχος των ψηφιακών κυκλωµάτων στο FPGA chip. Η πλακέτα διαθέτει έξοδο VGA, για τη χρήση της όµως απαιτείται η κατασκευή ενός κυκλώµατος VGA driver στο FPGA. Με την κατασκευή κατάλληλου driver (ψηφιακό κύκλωµα) η XSA-50 είναι δυνατό να δεχθεί είσοδο από πληκτρολόγιο ή ποντίκι µέσω της θύρας PS-2. 21

22 Χαρακτηριστικά της XSA-50 Η πλακέτα XSA-50 περιλαµβάνει τα ακόλουθα στοιχεία: XC2S50 Spartan-II FPGA: Είναι το κύριο στοιχείο υλοποίησης των ψηφιακών κυκλωµάτων που σχεδιάζονται µε το κατάλληλο λογισµικό (ISE). XC9572XL CPLD: Το CPLD χρησιµοποιείται για να ελέγχει την επικοινωνία της πλακέτας µε τον Η/Υ µέσω της παράλληλης θύρας. Osc: Προγραµµατιζόµενος ταλαντωτής, δηµιουργεί το σήµα του clock που αποστέλλεται στην πλακέτα. Flash: Μνήµα flash των 128 KByte, όπου µπορούν να αποθηκευτούν µόνιµα δεδοµένα. SDRAM: 8 MByte SDRAM για την παροδική αποθήκευση δεδοµένων τα οποία είναι προσβάσιµα από το FPGA. LED: Ένα LED επτά τµηµάτων (7-segment display) για την παρουσίαση οπτικών αποτελεσµάτων κατά την λειτουργία της πλακέτας. DIP switch: Ένας διακόπτης τεσσάρων θέσεων (DIP-switch) µε τον οποίο εισάγονται ρυθµίσεις (δεδοµένα) στην XSA-50 ή καθορίζεται η ανώτερη διεύθυνση της µνήµης. Pushbutton: ιακόπτης πιέσεως για την αποστολή στιγµιαίων πληροφοριών στο FPGA. Όταν ο διακόπτης είναι πιεσµένος, χαµηλή τάση εφαρµόζεται στο αντίστοιχο pin του FPGA. Parallel Port: Η παράλληλη θύρα αποτελεί την κύρια δίοδο επικοινωνίας της πλακέτας µε το PC. Mέσω αυτής µεταφέρονται δεδοµένα καθώς και τα bits προγραµµατισµού του FPGA για την υλοποίηση των ψηφιακών κυκλωµάτων (bitstreams). PS/2 Port: Είσοδος PS/2 για την σύνδεση πληκτρολογίου ή ποντικιού VGA Port: Θύρα VGA για σύνδεση µε VGA monitor. Η πλακέτα XSA-50 µπορεί να συνδεθεί µε µία πλακέτα επέκτασης, την XST-2.x (XSTend) του σχήµατος που ακολουθεί. 22

23 Η πλακέτα XST 2.x είναι εφοδιασµένη µε: USB 1.1: Επιτρέπει τη σύνδεση ενός H/Y µε την πλακέτα XSTend µέσω ενός καλωδίου USB (είσοδος J5). RS-232: Επιτρέπει τη σύνδεση της πλακέτας µέσω ενός 9-pin RS-232 καλωδίου µε τον H/Y. Stereo Input: Μία έξοδος ήχου µπορεί να συνδεθεί στην είσοδο J1 για την επεξεργασία του από κατάλληλο ψηφιακό κύκλωµα. Stereo Output: Μέσω της εξόδου J2 µπορεί να σταλεί ήχος σε ζεύγος (stereo). IDE: Μια διεπαφή IDE επιτρέπει στην XSA-50 να αποθηκεύει και να διαβάζει δεδοµένα σε σκληρό δίσκο. Τροφοδοσία της πλακέτας XSA-50 και XST 2.x Τροφοδοσία µπορεί να εφαρµοσθεί στην πλακέτα XSA-50 και στην XST 2.x µε τους ακόλουθους τρόπους. 23

24 Άµεσα στην XSA-50 µε το τροφοδοτικό των 9V DC Με το τροφοδοτικό των 9V DC στην πλακέτα XSTend 24

25 Μέσω ενός συνήθους τροφοδοτικού ATX PC στην πλακέτα XSTend (υποδοχή J6) Με διπλή τροφοδοσία 5V / 3.3V στις εισόδους της XST 2.x Έλεγχος καλής λειτουργίας Για να ελέγξετε αν λειτουργεί σωστά η XSA-50 µετά τη σύνδεση της µέσω του παράλληλου καλωδίου µε το PC και τη τροφοδοσία της µε 9V DC, εκτελέστε την εφαρµογή GXTEST. Στο παράθυρο που θα εµφανισθεί επιλέξτε την XSA-50 σαν Board Type και την LPT1 σαν θύρα εισόδου. Στη συνέχεια πιέστε το πλήκτρο TEST για να αρχίσει η διαδικασία ελέγχου. Η εφαρµογή GXSTEST θα ρυθµίσει το FPGA για την διαδικασία ελέγχου. Αν αυτή είναι επιτυχής, θα εµφανισθεί η ένδειξη O στο LED της πλακέτας και η εφαρµογή θα σας ενηµερώσει για την επιτυχία του ελέγχου. Σε αντίθετη περίπτωση ο χαρακτήρας Ε θα εµφανισθεί στο LED της πλακέτας. 25

26 Ρύθµιση της συχνότητας του ταλαντωτή Η πλακέτα XSA-50 είναι εφοδιασµένη µε ένα κύκλωµα παραγωγής συχνότητας 100 ΜΗz (ταλαντωτής - Dallas Semiconductor DS1075Z-100). Η κύρια αυτή συχνότητα µπορεί να διαιρεθεί µε τους παράγοντες 1, 2,... µέχρι το 2052 παρέχοντας τις αντίστοιχες συχνότητες του ρολογιού. Η συχνότητα αυτή αποστέλλεται στα υπόλοιπα µέρη της πλακέτας σαν σήµα ρολογιού. Η επιλογή του κατάλληλου διαιρέτη της βασικής συχνότητας γίνεται µέσω της εφαρµογής GXSSETCLK. Με την εκτέλεση της εµφανίζεται ένα παράθυρο όπου µπορείτε να επιλέξετε το τύπο της πλακέτας (XSA-50), τη θύρα επικοινωνίας µε τον Η/Υ και το διαιρέτη της βασικής συχνότητας (από 1 έως 2052). Πιέζοντας το πλήκτρο SET και ακολουθώντας τις οδηγίες που θα εµφανισθούν από την εφαρµογή GXSSETCLK για την τροφοδοσία και την θέση των βραχυκυκλωτήρων (jumpers) γίνεται ο επαναπρογραµµατισµός της συχνότητας. Παράλληλη θύρα Η παράλληλη θύρα είναι η κύρια µονάδα διασύνδεσης της XSA-50. Η γραµµή C0 (control line) συνδέεται απευθείας µε τον ταλαντωτή DS1075 και χρησιµοποιείται για τη ρύθµιση του διαιρέτη της συχνότητας όπως αναφέρθηκε νωρίτερα. Η γραµµή S6 συνδέεται απευθείας στο FPGA και χρησιµοποιείται σαν δίαυλος επικοινωνίας από το FPGA προς το PC. Τα υπόλοιπα 15 pins χρησιµοποιούνται µέσω του CPLD ως εξής: Οι γραµµές επικοινωνίας (control lines) C1-C3 συνδέονται στα JTAG pins µέσω των οποίων γίνεται ο προγραµµατισµός του CPLD. Πληροφορίες από το CPLD επιστρέφουν στον Η/Υ µέσω της γραµµής S7 (status line). Οι οκτώ γραµµές δεδοµένων, D0-D7, και οι υπόλοιπες γραµµές κατάστασης, S3-S5, συνδέονται σε pins γενικής χρήσης του CPLD. To CPLD µπορεί να προγραµµατιστεί (π.χ. µε το αρχείο dwnldpar.svf) ώστε λειτουργεί σαν µονάδα διασύνδεσης µεταξύ της παράλληλης θύρας και του FPGA. 26

27 Ρυθµίσεις της εφαρµογής ISE 8.1 για χρήση µε την πλακέτα XSA-50 Οι παράµετροι της πλακέτας XSA-50 που θα πρέπει να δοθούν στο Xilin ISE 8.1 κατά το σχεδιασµό των ψηφιακών κυκλωµάτων είναι: Device Family Spartan2 Device xc2s50 Package tq144 Speed -5 LEDs S6 S5 S2 S3 S0 S4 S1 DP Κατά τη διάρκεια των εργαστηρίων θα χρησιµοποιήσουµε τα LED s της XSA-50 για την παρουσίαση αποτελεσµάτων. Οι εκχωρήσεις των pins που αφορούν το κάθε τµήµα του LED δίδονται στον ακόλουθο πίνακα. LED Decoder Output XSA-50 S0 S1 S2 S3 S4 S5 S6 DP P67 P39 P62 P60 P46 P57 P49 P44 27

28 Επικοινωνία µέσω της παράλληλης θύρας Στον ακόλουθο πίνακα δίνεται η αντιστοιχία των pins µε τα bits της παράλληλης θύρας (εφαρµογή GXSPort): Port Bit D0 D1 D2 D3 D4 D5 D6 D7 Pin Assignment P50 P48 P42 P47 P65 P51 P58 P43 Το D7 (P43) δεν πρέπει να το πειράζετε γιατί χάνεται ο προγραµµατισµός του FPGA. Clock I/O Signal XSA-50 clk P88 PushButton I/O Signal PushButton XSA-50 P93 Dip-Switches DIP Switch pins 1 P54 2 P64 3 P63 4 P56 28

ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Εργαστηριακές Ασκήσεις

ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Εργαστηριακές Ασκήσεις ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Αρχιτεκτονική Υπολογιστών Ι Εργαστηριακές Ασκήσεις Οκτώβριος 2008 Περιεχόμενα Άσκηση 1: LED Decoder...

Διαβάστε περισσότερα

Τεύχος Εργαστηριακών Ασκήσεων Έκδοση 1η

Τεύχος Εργαστηριακών Ασκήσεων Έκδοση 1η ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΙΓΑΙΟΥ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΚΑΙ ΕΠΙΚΟΙΝΩΝΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Ψηφιακή Σχεδίαση Τεύχος Εργαστηριακών Ασκήσεων Έκδοση 1η ρ. Κωνσταντίνος Καραφασούλης ckaraf@aegean.gr

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες Στην 4 η εργαστηριακή άσκηση θα ασχοληθούμε με την ιεραρχική σχεδίαση. Συγκεκριμένα θα μάθουμε να σχεδιάζουμε απλές οντότητες τις οποίες

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Ψηφιακής Σχεδίασης ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ Εργαστήριο Ψηφιακής Σχεδίασης 8 Εργαστηριακές Ασκήσεις Χρ. Καβουσιανός Επίκουρος Καθηγητής 2014 Εργαστηριακές Ασκήσεις Ψηφιακής Σχεδίασης 2 Εργαστηριακές Ασκήσεις

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη

Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Σχεδιασμός Πλήρους Αθροιστή/Αφαιρέτη ΕΡΓΑΣΤΗΡΙΟ ΥΛΙΚΟΥ 3 Μέρος Α (Ι-V, προηγούμενο εργαστήριο λογισμικού) Βεβαιωθείτε

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2006-2007 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΝΗΜΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗ ΛΟΓΙΚΗ ΥΠΕΥΘΥΝΟΣ ΕΡΓΑΣΤΗΡΙΩΝ: ΧΡΥΣΟΣΤΟΜΟΣ ΧΡΥΣΟΣΤΟΜΟΥ ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2001 ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013

Συστηµάτων ΗΜΥ211. Στόχοι Εργαστηρίου. Πανεπιστήμιο Κύπρου. Πανεπιστήμιο Κύπρου. Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Χειµερινό 2013 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο εργαστήριο Υλικού Εβδοµάδα: 2 1 Στόχοι Εργαστηρίου Μετην ολοκλήρωση αυτού του εργαστηρίου, θα πρέπει να γνωρίζετε: 1. Τη διαδικασία που ακολουθείται για

Διαβάστε περισσότερα

Εισαγωγή στη Verilog με το ISE

Εισαγωγή στη Verilog με το ISE Εισαγωγή στη Verilog με το ISE Πατάμε new project Δίνουμε όνομα και κατάλογο όπου θα αποθηκευτεί το project. Next όπου επιλέγουμε chip και preferred language βάζουμε Verilog Next και στο Create new source

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

Lab 2 Manual - Introduction to Xilinx

Lab 2 Manual - Introduction to Xilinx Lab 2 Manual - Introduction to Xilinx Εισαγωγή Σε αυτό το εργαστήριο θα κάνουµε εισαγωγή στην γλωσσά προγραµµατισµού VHDL και εργαλείο Xilinx ISE. ISE είναι το εργαλείο που παρέχεται από Xilinx για να

Διαβάστε περισσότερα

6.1 Θεωρητική εισαγωγή

6.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 6 ΑΠΟΚΩ ΙΚΟΠΟΙΗΤΕΣ ΚΑΙ ΠΟΛΥΠΛΕΚΤΕΣ Σκοπός: Η κατανόηση της λειτουργίας των κυκλωµάτων ψηφιακής πολυπλεξίας και αποκωδικοποίησης και η εξοικείωση µε τους ολοκληρωµένους

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017)

ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017) ΑΣΚΗΣΗ 1 (22 Νοεμβρίου 2017) Περιγραφή της Άσκησης Ο σκοπός της πρώτης άσκησης είναι κυρίως η εξοικείωση με το περιβάλλον προγραμματισμού του Arduino, γι αυτό και δεν είναι ιδιαίτερα σύνθετη. Αρχικά, θα

Διαβάστε περισσότερα

Εισαγωγή στο Εργαστήριο Υλικού

Εισαγωγή στο Εργαστήριο Υλικού ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στο Εργαστήριο Υλικού Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ 1) Το παρακάτω κύκλωμα του σχήματος 1 είναι ένας καταχωρητής-ολισθητής

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Ατζέντα 1. Στόχοι 3

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Πλήρης Αθροιστής, Αποκωδικοποιητής και Πολυπλέκτης ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Λύσεις

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ

ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ ΑΣΚΗΣΗ 2η ΥΛΟΠΟΙΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗ ΟΘΟΝΗΣ 7 ΤΜΗΜΑΤΩΝ Σκοπός της δεύτερης άσκησης είναι αφενός η επανάληψη απαραίτητων γνώσεων από την ύλη του προηγούμενου εξαμήνου και αφετέρου η άμεση εισαγωγή στην υλοποίηση

Διαβάστε περισσότερα

Εισαγωγή στη σχεδιαστική ροή της Xilinx

Εισαγωγή στη σχεδιαστική ροή της Xilinx Πανεπιστήμιο Κρήτης, Τμήμα Επιστήμης Υπολογιστών HY220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2014-2015 Εισαγωγή στη σχεδιαστική ροή της Xilinx ISE Design Suite 14.7 Στόχοι.. 1. Δημιουργία project

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Εισαγωγή στη VHDL Υλοποίηση στο Quartus ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Εισαγωγή στη VHDL Υλοποίηση στο Quartus Διδάσκοντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών

Διαβάστε περισσότερα

Μετρήσεις και συλλογή δεδομένων (Data acquisition) με μικροελεγκτές. Εισαγωγή στο Arduino. Ηλεκτρομηχανολογικός εξοπλισμός διεργασιών

Μετρήσεις και συλλογή δεδομένων (Data acquisition) με μικροελεγκτές. Εισαγωγή στο Arduino. Ηλεκτρομηχανολογικός εξοπλισμός διεργασιών Μετρήσεις και συλλογή δεδομένων (Data acquisition) με μικροελεγκτές Εισαγωγή στο Arduino Ηλεκτρομηχανολογικός εξοπλισμός διεργασιών Τι είναι Μικροελεγκτής; Ηλεκτρονική συσκευή που διαχειρίζεται ηλεκτρονικά

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 13 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Ενσωµατωµένα Συστήµατα

Ενσωµατωµένα Συστήµατα Ενσωµατωµένα Συστήµατα για εφαρµογές πραγµατικού χρόνου Μικροελεγκτής Arduino Ιωάννης Καλόµοιρος Αναπληρωτής Καθηγητής Τµήµα Μηχανικών Πληροφορικής Μάθηµα 7ο Τι είναι το Arduino... Ένα open-hardware σύστηµα

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Γενικές Γραμμές Ακολουθιακή Λογική Μεταστάθεια S-R RLatch h( (active high h&l low) S-R Latch with Enable Latch Flip-Flop Ασύγχρονοι είσοδοι PRESET

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ Θεωρητικό Μέρος Οι σειριακές λειτουργίες είναι πιο

Διαβάστε περισσότερα

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 2 (2 εβδοµάδες) Εβδοµάδα 27/11 έως 01/12 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙ ΙΟ ΧΡΗΣΗΣ ΛΟΓΙΣΜΙΚΟΥ E-LEARNING - 2 -

ΕΓΧΕΙΡΙ ΙΟ ΧΡΗΣΗΣ ΛΟΓΙΣΜΙΚΟΥ E-LEARNING - 2 - - 2 - ΕΓΧΕΙΡΙ ΙΟ ΧΡΗΣΗΣ ΛΟΓΙΣΜΙΚΟΥ E-LEARNING Περιεχόµενα Εγκατάσταση λογισµικού Οθόνη καλωσορίσµατος στην εγκατάσταση...4 Πληροφορίες ιδρύµατος και λογισµικού...5 ηµιουργία συντόµευσης στο µενού έναρξης

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων Ψηφιακή Σχεδίαση Κεφάλαιο 5: Σύγχρονη Ακολουθιακή Λογική Σύγχρονα Ακολουθιακά Κυκλώµατα Είσοδοι Συνδυαστικό κύκλωµα

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ GRS-1

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ GRS-1 ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ GRS-1 Σελίδα 1 ΓΕΝΙΚΑ - ΕΙΣΑΓΩΓΗ Το GRS-1 της TOPCON διαθέτει λειτουργικό σύστημα Windows CE NET 6.1 παρέχοντας την δυνατότητα εγκατάστασης οποιασδήποτε εφαρμογής και λογισμικού έκδοσης

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 1 (2 εβδοµάδες) Εβδοµάδα 6/11 έως 10/11 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU) ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η παραγωγή του layout μιας αριθμητικής-λογικής μονάδας ενός ψηφίου

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΗΛΕΚΤΡΟΝΙΚΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΟΜΑ Α Α Αριθµητική Λογική Μονάδα των 8-bit 1. Εισαγωγή Γενικά µια αριθµητική λογική µονάδα (ALU, Arithmetic Logic Unit)

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2006-2007 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 ) ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 9 ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των απαριθµητών. Υλοποίηση ασύγχρονου απαριθµητή 4-bit µε χρήση JK Flip-Flop. Κατανόηση της αλλαγής του υπολοίπου

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Τα βασικά της

Διαβάστε περισσότερα

Πως θα κατασκευάσω το πρώτο πρόγραμμα;

Πως θα κατασκευάσω το πρώτο πρόγραμμα; Εργαστήριο Δομημένος Προγραμματισμός (C#) Τμήμα Μηχανολογίας Νικόλαος Ζ. Ζάχαρης Καθηγητής Εφαρμογών Σκοπός Να γίνει εξοικείωση το μαθητών με τον ΗΥ και το λειτουργικό σύστημα. - Επίδειξη του My Computer

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C Στο εργαστήριο αυτό, θα ασχοληθούμε με δύο προγραμματιστικά περιβάλλοντα της γλώσσας C, το Dev-C++, το οποίο είναι εφαρμογή που τρέχει

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 12 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Ακολουθιακή λογική, καταχωρητές και flip-flops Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά Κεφάλαιο Τρία: 3.1 Τι είναι αναλογικό και τι ψηφιακό µέγεθος Αναλογικό ονοµάζεται το µέγεθος που µπορεί να πάρει οποιαδήποτε τιµή σε µια συγκεκριµένη περιοχή τιµών π.χ. η ταχύτητα ενός αυτοκινήτου. Ψηφιακό

Διαβάστε περισσότερα

Μάθημα 4.2 Η μητρική πλακέτα

Μάθημα 4.2 Η μητρική πλακέτα Μάθημα 4.2 Η μητρική πλακέτα - Εισαγωγή - Οι βάσεις του επεξεργαστή και της μνήμης - Οι υποδοχές της μητρικής πλακέτας - Άλλα μέρη της μητρική πλακέτας - Τυποποιήσεις στην κατασκευή μητρικών πλακετών Όταν

Διαβάστε περισσότερα

βαθµίδων µε D FLIP-FLOP. Μονάδες 5

βαθµίδων µε D FLIP-FLOP. Μονάδες 5 Κεφάλαιιο: 6 ο Τίίτλος Κεφαλαίίου:: Μανταλωτές & Flip Flop (Ιούνιος 2004 ΤΕΕ Ηµερήσιο) Να σχεδιάσετε καταχωρητή δεξιάς ολίσθησης τεσσάρων βαθµίδων µε D FLIP-FLOP. Μονάδες 5 (Ιούνιος 2005 ΤΕΕ Ηµερήσιο)

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 Χειµερινό 23 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 υαδικός Αθροιστής, Πολυπλέκτες και Αποκωδικοποιητές Εβδοµάδα: 5 Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ2 Χειµερινό 23 Στόχοι

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 9 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

C D C D C D C D A B

C D C D C D C D A B Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ Θεωρητικό

Διαβάστε περισσότερα

Αντιγραφή με χρήση της γυάλινης επιφάνειας σάρωσης

Αντιγραφή με χρήση της γυάλινης επιφάνειας σάρωσης Γρήγορη αναφορά Αντιγραφή Δημιουργία αντιγράφων Γρήγορη δημιουργία αντιγράφου 3 Στον πίνακα ελέγχου του εκτυπωτή πατήστε το κουμπί αντίγραφο 4 Εάν τοποθετήσατε το έγγραφο στη γυάλινη επιφάνεια σάρωσης

Διαβάστε περισσότερα

Εγχειρίδιο Φοιτητών. 1. Εισαγωγή

Εγχειρίδιο Φοιτητών. 1. Εισαγωγή Εγχειρίδιο Φοιτητών 1. Εισαγωγή Η ηλεκτρονική πλατφόρµα «e-class», αποτελεί ένα ολοκληρωµένο σύστηµα Ασύγχρονης Τηλεκπαίδευσης. Στόχος της είναι παροχή υποδοµών εκπαίδευσης και κατάρτισης ανεξάρτητα από

Διαβάστε περισσότερα

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe Εργασία στα Ψηφιακά Ηλεκτρονικά Συστήματα 2013-2014 Θέμα: Κατασκευή και Ανάλυση Μετρητή 4-bit και Πλήρους Αθροιστή σε περιβάλλον VHDL Ονοματεπώνυμο: Αλέξανδρος Γεώργιος Μουντογιαννάκης Σχολή: Τμήμα Επιστήμης

Διαβάστε περισσότερα

ΜΙΧΑΛΗΣ ΨΑΡΑΚΗΣ ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ 2014-2015 ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΙΡΑΙΩΣ

ΜΙΧΑΛΗΣ ΨΑΡΑΚΗΣ ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ 2014-2015 ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΙΡΑΙΩΣ Εργαστήριο Λογικής Σχεδίασης Ψηφιακών Συστημάτων ΜΙΧΑΛΗΣ ΨΑΡΑΚΗΣ ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ 2014-2015 ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΙΡΑΙΩΣ Τμήμα Πληροφορικής - Πανεπιστήμιο Πειραιώς i ΠΕΡΙΕΧΟΜΕΝΑ ΕΡΓΑΣΤΗΡΙΟ

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out ΑΣΚΗΣΗ 7 ΘΕΜΑ 1ο MINORITY A B C C out S S C out C OUT = MAJ(A,B,C) = Majority(A,B,C) = 1 when at least 2 (majority) of A, B, and C are equal to 1. Opposite Minority MAJ(A,B,C) = AB + BC + AC (PMOS and

Διαβάστε περισσότερα

"My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Καραγιάννη Ελένη 1, Καραγιαννάκη Μαρία-Ελένη 2, Βασιλειάδης Αθανάσιος 3, Κωστουλίδης Αναστάσιος-Συμεών 4, Μουτεβελίδης Ιωάννης-Παναγιώτης 5,

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ.

ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ. 478 ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ. Γλάρος Ιωάννης ΤΕ 01 Ηλεκτρονικός Εκπαιδευτικός Δ.Ε http://3tee-rodou.dod.sch.gr

Διαβάστε περισσότερα

Microcomputer Automatic Alarm device CCTV SECURITY AUTOMATIC ALARM SYSTEΜ

Microcomputer Automatic Alarm device CCTV SECURITY AUTOMATIC ALARM SYSTEΜ AUTO-DIALER 911 Microcomputer Automatic Alarm device CCTV SECURITY AUTOMATIC ALARM SYSTEΜ Χαρακτηριστικά: Τάση Λειτουργίας: DC11V TO 15V Στατικό Ρεύµα: 20mA (MAX) Ρεύµα Λειτουργίας: 150mA (MAX) Καταστάσεις

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 5 η :

Διαβάστε περισσότερα

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE Πανεπιστήµιο Κύπρου DEPARTMENT OF OMPUTER SIENE S 121 Ψηφιακά Εργαστήρια LAB EXERISE 4 Sequential Logic Χρίστος ιονυσίου Σωτήρης ηµητριάδης Άνοιξη 2002 Εργαστήριο 4 Sequential ircuits A. Στόχοι Ο σκοπός

Διαβάστε περισσότερα

Εφαρμογές Σειριακής Επικοινωνίας

Εφαρμογές Σειριακής Επικοινωνίας Εφαρμογές Σειριακής Επικοινωνίας Εισαγωγή Στο μάθημα αυτό θα μάθουμε πώς να χρησιμοποιούμε την βιβλιοθήκη serial για την επικοινωνία από την πλατφόρμα Arduino πίσω στον υπολογιστή μέσω της θύρας usb. Τι

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

Ο ΗΓΙΕΣ ΧΡΗΣΗΣ ΤΟΥ ΕΡΓΑΛΕΙΟΥ ΙΑΧΕΙΡΙΣΗΣ ΠΡΟΣΩΠΙΚΟΥ ΧΩΡΟΥ ΤΗΣ ALTEC SOFTWARE

Ο ΗΓΙΕΣ ΧΡΗΣΗΣ ΤΟΥ ΕΡΓΑΛΕΙΟΥ ΙΑΧΕΙΡΙΣΗΣ ΠΡΟΣΩΠΙΚΟΥ ΧΩΡΟΥ ΤΗΣ ALTEC SOFTWARE Ο ΗΓΙΕΣ ΧΡΗΣΗΣ ΤΟΥ ΕΡΓΑΛΕΙΟΥ ΙΑΧΕΙΡΙΣΗΣ ΠΡΟΣΩΠΙΚΟΥ ΧΩΡΟΥ ΤΗΣ ALTEC SOFTWARE Περιεχόµενα Σύνδεση στον προσωπικό χώρο...2 Το κεντρικό παράθυρο...3 ιαδροµή φακέλου...3 ιαχείριση αρχείων και φακέλων...4 Αποστολή

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού

ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού Α.Τ.Ε.Ι. ΘΕΣΣΑΛΟΝΙΚΗΣ ΤΜΗΜΑ ΑΥΤΟΜΑΤΙΣΜΟΥ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΑΣΚΗΣΗ 8 Tutorial by TeSLa Συνδεσμολογία κυκλώματος Διαδικασία Προγραμματισμού Θεσσαλονίκη, Ιανουάριος 2007 Η Άσκηση 8 του εργαστηρίου

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ 130 : Ψηφιακή σχεδίαση Βόλος 2015 1 Εισαγωγή Το Multisim είναι ένα ολοκληρωμένο περιβάλλον προσομοίωσης της συμπεριφοράς

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2007-2008 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

Ολοκληρωμένα Κυκλώματα

Ολοκληρωμένα Κυκλώματα Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Γ. Δημητρακόπουλος Ολοκληρωμένα Κυκλώματα Πρόοδος - Φθινόπωρο 2017 Θέμα 1 ο Σχεδιάστε το datapath για τον υπολογισμό

Διαβάστε περισσότερα

Η. ΠΙΘΑΝΑ ΕΡΩΤΗΜΑΤΑ... 227

Η. ΠΙΘΑΝΑ ΕΡΩΤΗΜΑΤΑ... 227 ΠΕΡΙΕΧΟΜΕΝΑ Η. ΠΙΘΑΝΑ ΕΡΩΤΗΜΑΤΑ... 227 1. ΓΕΝΙΚΑ... 227 2. ΓΕΝΙΚΗ ΛΟΓΙΣΤΙΚΗ... 228 3. ΠΕΛΑΤΕΣ ΠΡΟΜΗΘΕΥΤΕΣ... 230 4. ΑΞΙΟΓΡΑΦΑ... 232 4. ΑΣΦΑΛΕΙΑ... 232 copyright DATA COMMUNICATION ΠΙΘΑΝΑ ΕΡΩΤΗΜΑΤΑ Η.

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα