Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχετικά έγγραφα
Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI

Βασικές CMOS Λογικές οικογένειες (CMOS και Domino)

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Μικροηλεκτρονική - VLSI

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Ψηφιακή Λογική Σχεδίαση

Ηλεκτρονική. Ενότητα 9: Τρανζίστορ Επίδρασης Πεδίου (FET) Αγγελική Αραπογιάννη Τμήμα Πληροφορικής και Τηλεπικοινωνιών

Ψηφιακή Λογική Σχεδίαση

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Εισαγωγή στους Αλγορίθμους

Διοικητική Λογιστική

Εισαγωγή στους Υπολογιστές

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Ηλεκτρονική. Ενότητα 5: DC λειτουργία Πόλωση του διπολικού τρανζίστορ. Αγγελική Αραπογιάννη Τμήμα Πληροφορικής και Τηλεπικοινωνιών

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών)

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Θερμοδυναμική. Ανοικτά Ακαδημαϊκά Μαθήματα. Πίνακες Νερού σε κατάσταση Κορεσμού. Γεώργιος Κ. Χατζηκωνσταντής Επίκουρος Καθηγητής

Εισαγωγή στους Αλγορίθμους

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Εισαγωγή στους Η/Υ. Ενότητα 2β: Αντίστροφο Πρόβλημα. Δημήτρης Σαραβάνος, Καθηγητής Πολυτεχνική Σχολή Τμήμα Μηχανολόγων & Αεροναυπηγών Μηχανικών

ΣΥΣΤΗΜΑΤΑ ΗΛΕΚΤΡΙΚΗΣ ΕΝΕΡΓΕΙΑΣ ΙIΙ

ΣΥΣΤΗΜΑΤΑ ΗΛΕΚΤΡΙΚΗΣ ΕΝΕΡΓΕΙΑΣ ΙIΙ

ΣΥΣΤΗΜΑΤΑ ΗΛΕΚΤΡΙΚΗΣ ΕΝΕΡΓΕΙΑΣ ΙIΙ

Ηλεκτρονική. Ενότητα 7: Βασικές τοπολογίες ενισχυτών μιας βαθμίδας με διπολικά τρανζίστορ. Αγγελική Αραπογιάννη Τμήμα Πληροφορικής και Τηλεπικοινωνιών

Εισαγωγή στην πληροφορική

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. L d D F

Ηλεκτροτεχνία ΙΙ. Ενότητα 1: Βασικές Έννοιες Ηλεκτροτεχία Ηλεκτρονική. Δημήτρης Στημονιάρης, Δημήτρης Τσιαμήτρος Τμήμα Ηλεκτρολογίας

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Εισαγωγή στην Πληροφορική

Κβαντική Επεξεργασία Πληροφορίας

Σχεδίαση Μεικτών VLSI Κυκλωμάτων Ενότητα 9: Ευστάθεια και Αντιστάθμιση Συχνότητας

ΗΛΕΚΤΡΟΝΙΚΗ Ι Ενότητα 3

Τεχνολογία Πολιτισμικού Λογισμικού

Ψηφιακή Λογική Σχεδίαση

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας

ΗΛΕΚΤΡΟΤΕΧΝΙΑ-ΗΛΕΚΤΡΟΝΙΚΗ ΕΡΓΑΣΤΗΡΙΟ

Λογιστική Κόστους Ενότητα 12: Λογισμός Κόστους (2)

Ενδεικτικές λύσεις ασκήσεων διαχείρισης έργου υπό συνθήκες αβεβαιότητας

Φυσική ΙΙΙ. Ενότητα 4: Ηλεκτρικά Κυκλώματα. Γεώργιος Βούλγαρης Σχολή Θετικών Επιστημών Τμήμα Φυσικής

ΗΛΕΚΤΡΟΝΙΚΗ IΙ Ενότητα 5

ΗΛΕΚΤΡΟΝΙΚΗ IΙ Ενότητα 6

ΗΛΕΚΤΡΟΝΙΚΗ ΙIΙ Ενότητα 6

Εισαγωγή στους Υπολογιστές

Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθήνας. Βιοστατιστική (Ε) Ενότητα 3: Έλεγχοι στατιστικών υποθέσεων

Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθήνας. Βιοστατιστική (Ε) Ενότητα 1: Καταχώρηση δεδομένων

Κβαντική Επεξεργασία Πληροφορίας

Προηγμένος έλεγχος ηλεκτρικών μηχανών

Βέλτιστος Έλεγχος Συστημάτων

Μηχανολογικό Σχέδιο Ι

Θερμοδυναμική. Ανοικτά Ακαδημαϊκά Μαθήματα. Πίνακες Νερού Υπέρθερμου Ατμού. Γεώργιος Κ. Χατζηκωνσταντής Επίκουρος Καθηγητής

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθήνας. Βιοστατιστική (Ε) Ενότητα 2: Περιγραφική στατιστική

ΗΛΕΚΤΡΟΝΙΚΗ IΙ Ενότητα 3

Κβαντική Επεξεργασία Πληροφορίας

Εισαγωγή στους Αλγορίθμους

ΗΛΕΚΤΡΟΝΙΚΗ IΙ Ενότητα 2

Οργάνωση Υπολογιστών

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Οικογένειες Ολοκληρωμένων Κυκλωμάτων Ψηφιακής Λογικής

Λογιστική Κόστους Ενότητα 8: Κοστολογική διάρθρωση Κύρια / Βοηθητικά Κέντρα Κόστους.

Μικροηλεκτρονική - VLSI

Συστήματα Επικοινωνιών

Σχεδίαση Μεικτών VLSI Κυκλωμάτων

Τίτλος Μαθήματος: Μαθηματική Ανάλυση Ενότητα Γ. Ολοκληρωτικός Λογισμός

Διοικητική Λογιστική

ΗΛΕΚΤΡΟΝΙΚΗ ΙIΙ Ενότητα 1

ΗΛΕΚΤΡΟΝΙΚΑ Ι. Ενότητα 4: Ενισχυτής κοινού εκπομπού. Επ. Καθηγητής Γαύρος Κωνσταντίνος ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΤΕ

Ψηφιακά Ηλεκτρονικά. Μάθηµα 5ο.. Λιούπης

ΣΥΣΤΗΜΑΤΑ ΗΛΕΚΤΡΙΚΗΣ ΕΝΕΡΓΕΙΑΣ ΙΙ

Προηγμένος έλεγχος ηλεκτρικών μηχανών

Ψηφιακή Λογική Σχεδίαση

Διδακτική Πληροφορικής

Προηγμένος έλεγχος ηλεκτρικών μηχανών

Ηλεκτρονική. Ενότητα 8: Απόκριση κατά Συχνότητα των Ενισχυτών μιας βαθμίδας με διπολικά τρανζίστορ

Εισαγωγή στην Πληροφορική

Βέλτιστος Έλεγχος Συστημάτων

ΗΛΕΚΤΡΟΤΕΧΝΙΑ-ΗΛΕΚΤΡΟΝΙΚΗ ΕΡΓΑΣΤΗΡΙΟ

ΗΛΕΚΤΡΟΝΙΚΗ Ι Ενότητα 4

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Γραμμική Άλγεβρα και Μαθηματικός Λογισμός για Οικονομικά και Επιχειρησιακά Προβλήματα

ΣΥΣΤΗΜΑΤΑ ΗΛΕΚΤΡΙΚΗΣ ΕΝΕΡΓΕΙΑΣ ΙΙ

Εφαρμογές των Τεχνολογιών της Πληροφορίας και των Επικοινωνιών στη διδασκαλία και τη μάθηση

Προγραμματισμός Η/Υ. Βασικές Προγραμματιστικές Δομές. ΤΕΙ Ιονίων Νήσων Τμήμα Τεχνολόγων Περιβάλλοντος Κατεύθυνση Τεχνολογιών Φυσικού Περιβάλλοντος

Διεθνείς Οικονομικές Σχέσεις και Ανάπτυξη

Δυναμική και Έλεγχος E-L Ηλεκτρομηχανικών Συστημάτων

ΟΙΚΟΝΟΜΙΚΑ ΜΑΘΗΜΑΤΙΚΑ

ΗΛΕΚΤΡΟΝΙΚΗ IΙ Ενότητα 4

Ενότητα. Εισαγωγή στις βάσεις δεδομένων

Εισαγωγή στους Αλγορίθμους Ενότητα 10η Άσκηση Αλγόριθμος Dijkstra

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ

Δομημένος Προγραμματισμός

ΗΛΕΚΤΡΟΝΙΚΗ Ι Ενότητα 5

Μικροκύματα. Ενότητα 4: Προσαρμογή. Σταύρος Κουλουρίδης Πολυτεχνική Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Transcript:

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons Αναορά, Μη Εμπορική Χρήση Παρόμοια Διανομή 4.0 [1] ή μεταγενέστερη, Διεθνής Έκδοση. Εξαιρούνται τα αυτοτελή έργα τρίτων π.χ. ωτογραίες, διαγράμματα κ.λ.π., τα οποία εμπεριέχονται σε αυτό και τα οποία αναέρονται μαζί με τους όρους χρήσης τους στο «Σημείωμα Χρήσης Έργων Τρίτων». [1] http://creativecommons.org/licenses/by-nc-sa/4.0/ Ως Μη Εμπορική ορίζεται η χρήση: που δεν περιλαμβάνει άμεσο ή έμμεσο οικονομικό όελος από την χρήση του έργου, για το διανομέα του έργου και αδειοδόχο που δεν περιλαμβάνει οικονομική συναλλαγή ως προϋπόθεση για τη χρήση ή πρόσβαση στο έργο που δεν προσπορίζει στο διανομέα του έργου και αδειοδόχο έμμεσο οικονομικό όελος (π.χ. διαημίσεις) από την προβολή του έργου σε διαδικτυακό τόπο Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 2

Διατήρηση Σημειωμάτων Οποιαδήποτε αναπαραγωγή ή διασκευή του υλικού θα πρέπει να συμπεριλαμβάνει: το Σημείωμα Αναοράς το Σημείωμα Αδειοδότησης τη Δήλωση Διατήρησης Σημειωμάτων το Σημείωμα Χρήσης Έργων Τρίτων (εόσον υπάρχει) μαζί με τους συνοδευόμενους υπερσυνδέσμους. Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 3

Ανάπτυξη Το παρόν εκπαιδευτικό υλικό αναπτύχθηκε στο Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών του Πανεπιστημίου Πατρών. Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 4

Κυκλώματα Βασιζόμενα σε Λόγο Διαστάσεων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 5

Περίγραμμα Παρουσίασης Εισαγωγή Pseudo-nMOS Λογική (Διαορική) Cascode Voltage Swing Logic Δυναμική Λογική Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 6

Τι κάνει ένα κύκλωμα γρήγορο? I = C dv/dt t pd (C/I) DV Εισαγωγή Αύξηση της ταχύτητας μπορεί να επιτευχθεί με μικρή χωρητικότητα μεγάλο ρεύμα μικρές μεταπτώσεις τάσης DV Το logical effort είναι ανάλογο του C/I Το pmos block είναι πρόβλημα! Υψηλή χωρητικότητα για δεδομένο ρεύμα! Μπορούμε να ααιρέσουμε τη χωρητικότητα και τις αντιστάσεις των pmos τρανσίστορ? Διάορες οικογένειες κυκλωμάτων το προσπαθούν Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 7

Ratio Circuits (1/2) Τα ratio circuits βασίζουν τη λειτουργία τους σε κατάλληλες τιμές διαστάσεων των τρανζίστορ (δηλαδή, σε κατάλληλες τιμές αντιστάσεων ) σε κατάλληλες συνδεσμολογίες Εν γένει μια ratio πύλη αποτελείται από nmos pull-down δικτύωμα ένα ή περισσότερα pull-up στοιχεία που καλούνται στατικό ορτίο Λειτουργία Όταν το pull-down είναι OFF τότε το pull-up στοιχείο οδηγεί την έξοδο σε λογικό 1 Όταν το pull-down δικτύωμα είναι ΟΝ η έξοδος γίνεται 0 Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 8

Ratio Circuits (2/2) Επομένως το pull-up πρέπει να είναι σχετικά ασθενές για να μην εμποδίζει την εκόρτιση της εξόδου Το pullup προσπαθεί διαρκώς να έρει την έξοδο σε λογικό 1 Για να ισχύει το παραπάνω πρέπει να υπάρχει κατάλληλος λόγος διαστάσεων μεταξύ των pull-up pull-down στοιχείων Ισχυρά pull-up στοιχεία μειώνουν την καθυστέρηση για τη μετάβαση 0 1. Όμως αυξάνουν V OL Περιθώρια θορύβου Στατική κατανάλωση όταν η έξοδος είναι 0 Λόγω της μεγάλης κατανάλωσης αντικαταστάθηκαν από τη CMOS λογική Χρησιμοποιούνται σε ειδικές περιπτώσεις Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 9

Τυπικές Διατάξεις NMOS λογικής Η δημιουργία αντίστασης σε IC καταλαμβάνει μεγάλη επιάνεια Χρήση τρανζίστορ (enhancement) με V GG >V DD Το pullup άγει πάντα και η έξοδος παίρνει την τιμή Για την απουγή επιπλέον γραμμής τροοδοσίας (V GG ) χρήση τρανζίστορ αραίωσης (depletion) Επιπλέον εμύτευση αρνητικών ιόντων για τη δημιουργία αρνητικών threshold Vgs=0 => pullup πάντα ON Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 10

Περίγραμμα Παρουσίασης Εισαγωγή Pseudo-nMOS Λογική (Διαορική) Cascode Voltage Swing Logic Δυναμική Λογική Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 11

Pseudo-nMOS Πύλες Αντί για nmos pullup χρησιμοποιούν pmos τρανζίστορ Η πύλη του pmos είναι γειωμένη => το pullup είναι πάντα ΟΝ Το pulldown είναι ίδιο με αυτό της CMOS Λογικό ND τρανζίστορ σε σειρά Λογικό OR τρανζίστορ παράλληλα είσοδοι f Ανάλογα με τιμές των εισόδων υπολογίζεται η συνάρτηση f και η έξοδος Εκορτίζεται Παραμένει σε λογικό 1 Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 12

DC Χαρακτηριστικές Pseudo-nmos Αντιστροέα Όσο μεγαλύτερη είναι διάσταση Ρ τόσο ταχύτερη είναι η μετάβαση 0 1 λιγότερο απότομη είναι η μετάβαση 1 0 Μεγάλες τιμές Ρ συνεπάγονται μεγάλα ρεύματα => αύξηση της στατικής κατανάλωσης ισχύος Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 13

Pseudo-nMOS Πύλες (1/2) Κατασκευάζονται ώστε να έχουν μοναδιαίο ρεύμα στην έξοδο και να μπορούν να συγκριθούν με έναν inverter. Τo pmos αντιτίθενται των nmos Το πλάτος των pmos αντιστοιχεί στο ¼ της οδηγητικής ικανότητας (1/2 πλάτους) του nmos pulldown Συμβιβασμοί για περιθώρια θορύβου και ταχύτητα Αντιστροέας NND2 NOR2 g u = g d = g avg = p u = p d = p avg = B g u = g d = g avg = p u = p d = p avg = B g u = g d = g avg = p u = p d = p avg = Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 14

Pseudo-nMOS Πύλες (2/2) Αντιστροέας NND2 NOR2 2/3 4/3 g u = 4/3 g d = 4/9 g avg = 8/9 p u = 6/3 p d = 6/9 p avg = 12/9 B 2/3 8/3 8/3 g u = 8/3 g d = 8/9 g avg = 16/9 p u = 10/3 p d = 10/9 p avg = 20/9 2/3 4/3 B 4/3 g u = 4/3 g d = 4/9 g avg = 8/9 p u = 10/3 p d = 10/9 p avg = 20/9 Οι NND pseudo-nmos είναι πιο αργή από την αντίστοιχη CMOS Όμως η NOR pseudo-nmos έχει μικρότερη καθυστέρηση Οι NOR pseudo-nmos χρησιμοποιούνται Για γρήγορές NOR πολλών εισόδων Σε NOR-based κυκλωματικές δομές (ROM, PLs) Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 15

Pseudo-nMOS Σχεδίαση Σχεδίαση μιας πύλης ND k-εισόδων με pseudo-nmos & εκτίμηση καθυστέρησης για οδήγηση ενός H-fanout. G = 1 * 8/9 = 8/9 F = GBH = 8H/9 In 1 1 Pseudo-nMOS N = 2 f optimum/stage = 8H / 9 In k 1 H Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 16

Pseudo-nMOS Σχεδίαση Cin = gc f 8H / 9 8H 8H / 9 3 out = = optim Καθώς η μοναδιαία ΝΟΤ έχει Cin = 3 => το nmos έχει εύρος 8H Το pmos πρέπει να έχει το μισό εύρος Η παρασιτική χωρητικότητα της NOR είναι (4+8k)/9, ενώ η συνολική είναι P = 1 + (4+8k)/9 = (8k+13)/9 D = NF 1/N + P = 4 2H 8k + 13 + 3 9 Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 17

Pseudo-nMOS Κατανάλωση Ισχύος Οι Pseudo-nMOS πύλες καταναλώνουν διαρκώς ενέργεια όταν = 0 Υπάρχει μονοπάτι από Vdd GND Η κατανάλωση αυτή καλείται στατική κατανάλωση ισχύος (static power) και είναι ίση με P = I V DD Λίγα m / πύλη * 1M πύλες αποτελούν σημαντικότατο πρόβλημα Γι αυτό η τεχνολογία nmos «εξαανίστηκε»! Χρήση pseudo-nmos πυλών με προσοχή Απενεργοποίηση των pmos όταν δεν χρησιμοποιούνται en B C Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 18

Ganged CMOS B N1 P1 N2 P2 y 0 0 OFF ON OFF ON 1 0 1 OFF ON ON OFF ~ 0 1 0 ON OFF OFF ON ~ 0 1 1 ON OFF ON OFF 0 Ο πίνακας αληθείας πιστοποιεί λειτουργία NOR πύλης Όταν μία μόνο είσοδος είναι 0 η πύλη είναι τυπική pseudo-nmos Όταν και οι δύο είσοδοι είναι 0 τότε η έξοδος έρχεται πιο γρήγορα σε τιμή 1 σε σχέση με μία κλασική pseudo-nmos (2 pmos οδηγούν την έξοδο) Όταν και οι δύο είσοδοι είναι 1 τα pmos είναι OFF => μείωση κατανάλωσης Επομένως, καλύτερη οικογένεια σε κατανάλωση και ταχύτητα από pseudonmos NOR2 Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 19

Περίγραμμα Παρουσίασης Εισαγωγή Pseudo-nMOS Λογική (Διαορική) Cascode Voltage Swing Logic Δυναμική Λογική Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 20

Cascode Voltage Swing Logic CVSL (1/5) Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 21

(Differential) Cascode Voltage Swing Logic CVSL (2/5) Διατηρεί τα πλεονεκτήματα της ratio λογικής χωρίς στατική κατανάλωση Χρησιμοποιεί συμπληρωματικές και μη συμπληρωματικές εισόδους Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 22

(Differential) Cascode Voltage Swing Logic CVSL (2/5) Διατηρεί τα πλεονεκτήματα της ratio λογικής χωρίς στατική κατανάλωση Χρησιμοποιεί συμπληρωματικές και μη συμπληρωματικές εισόδους Υπολογίζει συμπληρωματικές και μη συμπληρωματικές εξόδους Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 22

(Differential) Cascode Voltage Swing Logic CVSL (2/5) Διατηρεί τα πλεονεκτήματα της ratio λογικής χωρίς στατική κατανάλωση Χρησιμοποιεί συμπληρωματικές και μη συμπληρωματικές εισόδους Υπολογίζει συμπληρωματικές και μη συμπληρωματικές εξόδους Χρήση συμπληρωματικού ζεύγους nmos pulldown δικτυωμάτων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 22

Cascode Voltage Swing Logic CVSL (3/5) Για οποιοδήποτε συνδυασμό εισόδων ένα pulldown δικτύωμα θα είναι ΟΝ και το άλλο OFF To ΟΝ pulldown οδηγεί τη μία έξοδο σε 0 Αυτό αναγκάζει ένα pmos να άγει => η άλλη έξοδος οδηγείται σε 1 Δεν άγουν ταυτόχρονα pmos και nmos στην ίδια «στήλη» Δεν υπάρχει ροή στατικού ρεύματος => δεν υπάρχει στατική κατανάλωση Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 23

Cascode Voltage Swing Logic CVSL (4/5) Η CVSL έχει όλα τα δυνητικά πλεονεκτήματα για την ταχύτητα Η λογική υλοποιείται μόνο από nmos τρανζίστορ => μείωση χωρητικότητας εισόδου Ο λόγος των μεγεθών των τρανζίστορ είναι σημαντικός Τα pmos αντιμάχονται τα nmos τρανζίστορ Μεγάλο pmos => αργή μετάβαση 1 0 Η ανάδραση θέτει ένα pmos OFF => οι έξοδοι έχουν πάντα σωστές στάθμες => Αύξηση της στιβαρότητας (robustness) Λόγω της ανάδρασης υπάρχει πάντοτε διόρθωση των σταθμών τάσεων των εξόδων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 24

Cascode Voltage Swing Logic CVSL (5/5) Δεν υπάρχει κατανάλωση στατικής ισχύος Μπορεί να εμανιστούν ρεύματα βραχυκυκλώματος Οι δυο συναρτήσεις σε pull-down network είναι συμπληρωματικές Μεγάλη επιάνεια και χωρητικότητα στις εναλλαγές Λόγω των εν σειρά τρανζίστορ έχουν μεγαλύτερη καθυστέρηση για NND/NOR πύλες σε σχέση με τη pseudo-nmos Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 25

Cascode Voltage Swing Logic CVSL (5/5) Δεν υπάρχει κατανάλωση στατικής ισχύος Μπορεί να εμανιστούν ρεύματα βραχυκυκλώματος Οι δυο συναρτήσεις σε pull-down network είναι συμπληρωματικές Μεγάλη επιάνεια και χωρητικότητα στις εναλλαγές Λόγω των εν σειρά τρανζίστορ έχουν μεγαλύτερη καθυστέρηση για NND/NOR πύλες σε σχέση με τη pseudo-nmos Μπορεί να γίνει διαμερισμός υλικού σε δυο pull-down networks Παράδειγμα XOR πύλη Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 25

Περίγραμμα Παρουσίασης Εισαγωγή Pseudo-nMOS Λογική (Διαορική) Cascode Voltage Swing Logic Δυναμική Λογική Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 26

Δυναμική Λογική Εισαγωγή Οι ratioed πύλες μειώνουν τη χωρητικότητα εισόδου αντικαθιστώντας τα pmos δικτύωμα με ένα pmos pullup τρανζίστορ που άγει πάντα Όμως έχουν τα ακόλουθα μειονεκτήματα: Σχετικά αργές μεταβάσεις 0 1 το pmos pullup τρανζίστορ έχει μικρότερη διάσταση γιατί αντιμάχεται πάντα το pulldown δικτύωμα Ροή ρεύματος (V dd GND) κατά τη μετάβαση 1 0 Στατική κατανάλωση Μη μηδενική V OL Οι δυναμικές πύλες χρησιμοποιούν pmos pullup τρανζίστορ που οδηγείται από ένα σήμα ρολογιού Αποεύγουν τη διαρκή αγωγή του pmos pullup Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 27

Δυναμική Λογική Λειτουργία (1/2) 2 2/3 1 1 4/3 1 Static Pseudo-nMOS Dynamic Οι δυναμικές πύλες (Dynamic gates) χρησιμοποιούν ένα clocked pmos pull-up και ένα nmos pulldown δικτύωμα Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 28

Δυναμική Λογική Λειτουργία (1/2) 2 2/3 1 1 4/3 1 Static Pseudo-nMOS Dynamic Οι δυναμικές πύλες (Dynamic gates) χρησιμοποιούν ένα clocked pmos pull-up και ένα nmos pulldown δικτύωμα Οι λειτουργία τους διακρίνεται σε δύο άσεις: precharge και evaluate Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 28

Δυναμική Λογική Λειτουργία (2/2) 2 1 2/3 4/3 1 1 Static Pseudo-nMOS Dynamic Precharge Evaluate Precharge Κατά τη άση precharge =0 => η έξοδος τίθεται σε λογική τιμή 1 (Υ=1) Κατά τη άση evaluate =0 => pmos είναι OFF η πύλη «υπολογίζει» και με βάση τις τιμές των εισόδων και η έξοδος εκορτίζεται ή παραμένει σε λογικό 1 Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 29

Δυναμική Λογική Χαρακτηριστικά Πλεονεκτήματα Είναι λογική οικογένεια υψηλής ταχύτητας Μικρή χωρητικότητα εισόδου Δεν υπάρχουν συνθήκες ανταγωνισμού pullup pulldown Δεν υπάρχει ροή ρεύματος από την τροοδοσία στη γείωση => χαμηλή στατική κατανάλωση ισχύος Μειονεκτήματα Απαιτούν προσεκτική χρήση του σήματος ρολογιού Παρουσιάζουν υψηλή δυναμική κατανάλωση ισχύος Υψηλές πιθανότητες μετάβασης (switching activity) Ευαίσθητες στο θόρυβο Δυσκολίες σε διαδοχικά συνδεδεμένες πύλες (cascaded gates) Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 30

Footed Δυναμική Λογική 1 Precharge transistor 1 foot Dynamic Τι γίνεται αν το pull-down network (transistor με είσοδο Α) άγει κατά τη άση του precharge? Ανταγωνισμός για καθορισμό της τιμής της εξόδου Στατική κατανάλωση Σε πραγματική λειτουργία δεν είναι πάντα σίγουρη η μη αγωγή του pulldown κατά το precharge Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 31

Footed Δυναμική Λογική 1 1 Precharge transistor είσοδοι f είσοδοι f foot Dynamic footed unfooted Τι γίνεται αν το pull-down network (transistor με είσοδο Α) άγει κατά τη άση του precharge? Ανταγωνισμός για καθορισμό της τιμής της εξόδου Στατική κατανάλωση Σε πραγματική λειτουργία δεν είναι πάντα σίγουρη η μη αγωγή του pulldown κατά το precharge Χρήση ενός επιπλέον τρανζίστορ (foot transistor) για απουγή του ανταγωνισμού Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 31

Logical Effort Αντιστροέας NND2 NOR2 unfooted 1 1 g d = p d = B 1 2 2 g d = p d = 1 1 B 1 g d = p d = footed 1 1 1 3 2 B 3 2 B 2 g d = g d = 2 p d = 3 p d = 2 g d = p d = Τα μεγέθη των pulldown επιλέγονται να αντιστοιχούν σε μοναδιαία αντίσταση Η προόρτιση γίνεται όταν η πύλη είναι ανενεργή και συχνά μπορεί να είναι λίγο πιο αργή Τα μεγέθη των pullup επιλέγονται να αντιστοιχούν σε x2 μοναδιαία αντίσταση Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 32

Logical Effort Αντιστροέας NND2 NOR2 unfooted 1 1 g d = 1/3 p d = 2/3 B 1 2 2 g d = 2/3 p d = 3/3 1 1 B 1 g d = 1/3 p d = 3/3 footed 1 1 1 3 2 B 3 2 B 2 g d = 2/3 g d = 3/3 2 p d = 3/3 3 p d = 4/3 2 g d = 2/3 p d = 5/3 Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 33

Logical Effort Αντιστροέας NND2 NOR2 unfooted 1 1 g d = 1/3 p d = 2/3 B 1 2 2 g d = 2/3 p d = 3/3 1 1 B 1 g d = 1/3 p d = 3/3 footed 1 1 1 3 2 B 3 2 B 2 g d = 2/3 g d = 3/3 2 p d = 3/3 3 p d = 4/3 2 g d = 2/3 p d = 5/3 Το logical effort των footed πυλών είναι εμανώς μεγαλύτερο, όπως και η παρασιτική χωρητικότητα Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 33

Logical Effort Αντιστροέας NND2 NOR2 unfooted 1 1 g d = 1/3 p d = 2/3 B 1 2 2 g d = 2/3 p d = 3/3 1 1 B 1 g d = 1/3 p d = 3/3 footed 1 1 1 3 2 B 3 2 B 2 g d = 2/3 g d = 3/3 2 p d = 3/3 3 p d = 4/3 2 g d = 2/3 p d = 5/3 Το logical effort των footed πυλών είναι εμανώς μεγαλύτερο, όπως και η παρασιτική χωρητικότητα Στην πράξη το logical effort των footed πυλών είναι καλύτερο λόγω Velocity saturation των εν σειρά τρανζίστορ (μικρότερη αντίσταση από την εκτιμώμενη) Δεν υπάρχει ανταγωνισμός των pullup pulldown δικτυωμάτων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 33

Μονοτονικότητα (Monotonicity) (1/2) violates monotonicity violates monotonicity during evaluation during evaluation Precharge Evaluate Precharge Precharge Evaluate Precharge Output should rise but does not Output should rise but does not Το θεμελιώδες πρόβλημα των δυναμικών πυλών είναι η απαίτηση για μονοτονικότητα Κατά το evaluation απαιτούνται μονοτονικά ανερχόμενες είσοδοι Οι είσοδοι μπορούν να εκτελούν τις ακόλουθες μεταβάσεις 0 0 0 1 1 1 Αλλά όχι 1 0 Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 34

Μονοτονικότητα (Monotonicity) (2/2) Λόγω απαίτησης για μονοτονικότητα πρέπει να αποεύγεται η διαδοχική σύνδεση δυναμικών πυλών οδηγεί σε εντελώς λανθασμένη λειτουργία Το πρόβλημα αυτό αντιμετωπίζεται με τη domino λογική Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 35

Διαδοχικά Συνδεδεμένες Δυναμικές Πύλες = 1 X Precharge Evaluate X Precharge X μονοτονικά καθοδική κατά το evaluation Το θα πρέπει να σηκωθεί αλλα δεν σηκώνεται Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 36

Domino Πύλες (1/3) domino ND Precharge Evaluate Precharge W X Z W X B dynamic NND C static αντιστροέας Z B W H C X H X Z = B C Z Το πρόβλημα της μονοτονικότητας μπορεί να λυθεί τοποθετώντας έναν static CMOS αντιστροέα ανάμεσα στις dynamic πύλες. Το ζεύγος Dynamic / static ονομάζεται domino πύλη Παράγει μονοτονικά ανερχόμενες εξόδους Επιτρέπει τη διαδοχική διασύνδεση δυναμικών πυλών Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 37

Domino Πύλες (2/3) domino ND Precharge Evaluate Precharge W X Z W X B dynamic NND C static αντιστροέας Z B W H C X H X Z = B C Z Η έξοδος της δυναμικής πύλης είναι μονοτονικά κατερχόμενη κατά το evaluation => η έξοδος της ΝΟΤ είναι μονοτονικά ανερχόμενη Άρα η ΝΟΤ μπορεί να είναι μια HI-Skew πύλη για να ευνοεί την ανερχόμενη μετάβαση Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 38

Domino Πύλες (3/3) domino ND Precharge Evaluate Precharge W X Z W X B dynamic NND C static αντιστροέας Z B W H C X H X Z = B C Z Κάθε domino πύλη ενεργοποιεί την επόμενη, όπως στο παιχνίδι domino Οι πύλες κάνουν το evaluate σειριακά αλλά το precharge παράλληλα Γι αυτό το evaluation είναι πιο κρίσιμο από ότι το precharge Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 39

CMOS Domino Logic Μόνο non-inverting δομές μπορούν να υλοποιηθούν Η αναδιανομή ορτίου στη όρτιση μπορεί να προκαλέσει πρόβλημα Ένας buffer εξόδου μπορεί να χρειαστεί λόγω ορτίου Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 40

Βελτιστοποιήσεις της Domino S0 S1 S2 S3 D0 D1 D2 D3 H S4 S5 S6 S7 D4 D5 D6 D7 Έστω ένας domino πολυπλέκτης 8 εισόδων αποτελούμενος από δύο dynamic πολυπλέκτες 4 εισόδων και μια Hi-Skewed NND Είναι πιο γρήγορος από έναν δυναμικό πολυπλέκτη 8 εισόδων και έναν Hi- Skewed αντιστροέα το dynamic stage έχει μικρότερη χωρητικότητα διάχυσης και καθυστέρηση παρασιτικών Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 41

Dual-Rail Domino Με το Domino μπορούν να υλοποιηθούν μόνο non-inverting συναρτήσεις: ND, OR αλλά όχι NND, NOR, ή XOR H Dual-rail domino λύνει το πρόβλημα Λαμβάνει true και complementary εισόδους Παράγει true και complementary εξόδους Υ_h Υ_l Ερμηνεία 0 0 Precharged 0 1 0 _l είσοδοι f f _h 1 0 1 1 1 λάθος Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 42

Παράδειγμα: ND/NND Δεδομένων των _h, _l, B_h, B_l Ας υπολογίσουμε _h = * B _l = ΝΟΤ(( * B)) Τα Pull-down networks άγουν συμπληρωματικά _l = *B _h _h = *B _l B_l B_h Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 43

Παράδειγμα: XOR/XNOR Μερικές ορές είναι δυνατό να μοιραστούν τα transistors _l = xnor B _h _l _l _h _h = xor B B_l B_h Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 44

Dual-Rail Domino -Παρατηρήσεις Η Dual-Rail Domino είναι μία πλήρης οικογένεια σχεδιασμού Υλοποιεί όλες τις συμπληρωματικές και μη συμπληρωματικές λογικές συναρτήσεις Όμως έχει τα ακόλουθα μειονεκτήματα Μεγάλη επιάνεια Υψηλή καλωδίωση Επίσης χάνει την αποτελεσματικότητα για υλοποίηση ΝΟR με πολλές εισόδους Απαιτεί ένα κλάδο με πολλά εν σειρά τρανζίστορ Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 45

Δυναμικές Πύλες Ρεύματα Διαρροών Αν η έξοδος προορτιστεί και μετά μείνει αιωρούμενη (float) τότε λόγω ρευμάτων διαρροής μπορεί να αλλοιωθεί η τιμή της εξόδου Επίσης, έχουν χαμηλά περιθώρια θορύβου Αν λόγω θορύβου η τάση εισόδου γίνει μεγαλύτερη από Vt κατά τη διάρκεια του υπολογισμού => η έξοδος εκορτίζεται λανθασμένα Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 46

Keepers (1/2) Όταν ο δυναμικός κόμβος έχει την τιμή Χ=1, η έξοδος έχει την τιμή Υ=0 και ο keeper άγει Επαναορτίζει το κόμβο Χ και εμποδίζει τις απώλειες ορτίου λόγω διαρροών Όταν ο X=0, ο keeper εναντιώνεται στη μετάβαση αυτή Άρα θα πρέπει να είναι πολύ πιο αδύναμος από το pull-down δίκτυο Όταν το =1 απενεργοποιείται ο keeper εμποδίζοντας την κατανάλωση στατικής ισχύος weak keeper 1 k 2 X H 2 Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 47

Keepers (2/2) Για μικρές δυναμικές πύλες ο keeper πρέπει να είναι ασθενέστερος από ένα τρανζίστορ ελάχίστου μεγέθους Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 48

Keepers (2/2) Για μικρές δυναμικές πύλες ο keeper πρέπει να είναι ασθενέστερος από ένα τρανζίστορ ελάχίστου μεγέθους Επιτυγχάνεται με αύξηση του μήκους L του keeper Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 48

Keepers (2/2) Για μικρές δυναμικές πύλες ο keeper πρέπει να είναι ασθενέστερος από ένα τρανζίστορ ελάχίστου μεγέθους Επιτυγχάνεται με αύξηση του μήκους L του keeper Τα μεγάλου μήκους τρανζίστορ αυξάνουν τη χωρητικότητα εξόδου Διαμερισμός του keeper με χρήση περισσότερων τρανζίστορ Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 48

Διαμοιρασμός Φορτίου Οι Dynamic πύλες υποέρουν από διαμοιρασμό ορτίου B = 0 x C x C x Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 49

Διαμοιρασμός Φορτίου B = 0 x C x C Θόρυβος από τον διαμοιρασμό ορτίου x C V = V = V x DD Cx + C Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 50

Διαμοιρασμός Φορτίου Κατά το διαμοιρασμό ορτίου η τελική τάση του κόμβου εξόδου ισούται με C V = V = V x DD Cx + C Γίνεται εξαιρετικά σημαντικό πρόβλημα όταν η έξοδος έχει μικρό ορτίο (C ) και η εσωτερική χωρητικότητα είναι μεγάλη Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 51

Δευτερεύον Precharge Λύση: πρόσθεση δευτερευόντων transistors για precharge Τυπικά, χρειάζεται να γίνει precharge κάθε επιπλέον κόμβος Τα επιπλέον τρανζίστορ πρέπει να είναι μικρά Φορτίζουν μόνο τους εσωτερικούς κόμβους Οι χωρητικότητες διάχυσης αυτών καθυστερεί τον υπολογισμό Φορτίο με μεγάλη χωρητικότητα C βοηθά επίσης x δευτερεύον transistor για precharge B Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 52

Προβλήματα Precharging Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 53

Τα Clocked n transistor είναι πιο κοντά στην έξοδο της πύλης Προβλήματα Precharging Αν οι C 2 C 7 έχουν εκορτιστεί Στη συνέχεια αν 0 =0 και 1-5 =1 Όταν το Clk γίνει 0 1 το αποθηκευμένο ορτίο στον C 1 μεταέρεται στους C 2 C 7 Αυτός ο διαμοιρασμός του ορτίου μπορεί να χαμηλώσει το ορτίο του C 1 τόσο πολύ, ώστε η έξοδος να αλλάξει. Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 54

Ευαισθησία Θορύβου Οι Dynamic πύλες είναι πολύ ευαίσθητες στο θόρυβο Είσοδοι: V IH V tn Έξοδοι: εύτρωτος θόρυβος εξόδου Πηγές θορύβου Capacitive crosstalk Διαμοιρασμός ορτίου Power supply noise Feedthrough noise Και άλλα!! Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 55

Ανακεαλαίωση Δυναμική λογική Η δυναμική λογική είναι καλή για κυκλώματα υψηλής ταχύτητας 1.5 2x γρηγορότερη από τα static CMOS Αλλά έχει πολλές προκλήσεις: Monotonicity (λύση: domino logic) Leakage (λύση: keepers) Διαμοιρασμός ορτίου Θόρυβος (λύση: keepers) Χρησιμοποιήθηκε ευρέως στους high-performance microprocessors στο παρελθόν όταν η ταχύτητα ήταν η βασικότερη παράμετρος σχεδιασμού Αντικαθίσταται από τη στατική CMOS λόγω κατανάλωσης Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 56

Κυκλώματα με Pass Transistor Γίνεται χρήση pass transistors ως διακόπτες για να υλοποιηθεί λογική Οι είσοδοι οδηγούν τόσο diffusion και gate terminals Είναι πολύ καλές για την υλοποίηση της XOR function Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 57

Κυκλώματα με Pass Transistor S B S S Ένας πολυπλέκτης μπορεί να υλοποιηθεί με σύνδεση δύο πυλών μετάδοσης Όμως η πύλη μετάδοσης είναι non-restoring (μη αποκαταστάσιμο) κύκλωμα Κάθε θόρυβος στην είσοδο μεταέρεται στην έξοδο Σημαντικό πρόβλημα όταν συνδέονται διαδοχικά αρκετά στοιχεία τέτοιου τύπου Αυτό δε συμβαίνει στη στατική CMOS Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 58

Κυκλώματα με Pass Transistor S S S S B B S S Ένας πολυπλέκτης μπορεί να υλοποιηθεί με σύνδεση δύο πυλών μετάδοσης Όμως η πύλη μετάδοσης είναι non-restoring (μη αποκαταστάσιμο) κύκλωμα Κάθε θόρυβος στην είσοδο μεταέρεται στην έξοδο Σημαντικό πρόβλημα όταν συνδέονται διαδοχικά αρκετά στοιχεία τέτοιου τύπου Αυτό δε συμβαίνει στη στατική CMOS Επίλυση: CMOS + Transmission Gates: Η χρήση του στατικού CMOS αντιστροέα απομονώνει την έξοδο από το θόρυβο Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 58

CPL Complementary Pass-transistor Logic Dual-rail μορή της pass transistor logic Αποεύγει την ανάγκη για ratioed feedback EXTR: cross-coupling για rail-to-rail αλλαγές B B S S S S L L Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 59

LEP LEn integration με Pass transistors «Ααιρούμε» τα pmos transistors Χρησιμοποιούμε weak pmos feedback για να έχουμε πλήρες high Προσοχή: Ratio constraint B S S L Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 60

Pass Transistor Σύνοψη Αναπτύχθηκαν στη δεκαετία του 90 για γενικού σκοπού εαρμογές Πλεονεκτήματα σε σχέση με τη στατική CMOS είναι μικρά Χρησιμοποιούνται ελάχιστα για γενικού σκοπού εαρμογές Όμως έχουν ιδιαίτερα προτερήματα κυρίως μικρό μέγεθος και σχετικά αποδοτική υλοποίηση της XOR που επιτρέπουν τη χρήση τους σε κυκλώματα ειδικού σκοπού όπως Μνήμες Κάποια αριθμητικά κυκλώματα Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 61

Σημείωμα Χρήσης Έργων Τρίτων Η ανάπτυξη της παρουσίασης βασίστηκε στις διαάνειες του συγγράμματος «CMOS VLSI Design: Circuits and Systems Perspective (4 th Edition)», Neil H.E. Weste, David Money Harris, Pearson, 2011. Διαθέσιμες στη διαδικτυακή διεύθυνση http://pages.hmc.edu/harris/cmosvlsi/4e/index.html 2011 David Money Harris Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 53

Σημείωμα Αναοράς Copyright Πανεπιστήμιο Πατρών, Γεώργιος Θεοδωρίδης, Οδυσσέας Κουοπαύλου, «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων (VLSI) I». Έκδοση: 1.0 Πάτρα 2015 Διαθέσιμο στη διαδικτυακή διεύθυνση https://eclass.upatras.gr/courses/ee891/ Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 53

Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου των διδασκόντων καθηγητών. Το έργο «Ανοικτά Ακαδημαϊκά Μαθήματα στο Πανεπιστήμιο Πατρών» έχει χρηματοδοτήσει μόνο την αναδιαμόρωση του εκπαιδευτικού υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους. Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 62