Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα

Σχετικά έγγραφα
Καταχωρητές, Μετρητές και Ακολουθιακά Κυκλώματα

Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter)

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων

Υλοποίηση Πλήρη Αθροιστή με χρήση: Α) Ψηφιακών Πυλών Β) Αποκωδικοποιητή (74138)και Γ) Πολυπλέκτη(74153)

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Οικουμενικές Πύλες (ΝΑΝD NOR), Πύλη αποκλειστικού Η (XOR) και Χρήση KarnaughMaps

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

Διδάσκoντες: Γιώργος Ζάγγουλος και Λάζαρος Ζαχαρία. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

Εργαστήριο Ψηφιακής Σχεδίασης

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

8.1 Θεωρητική εισαγωγή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

Ψηφιακά Συστήματα. 8. Καταχωρητές

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

Καταστάσεων. Καταστάσεων

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus (a) με πύλες: and, or, xor και not (b) μόνο με πύλες nand2 και (c) με Vhdl (dataflow)

Ψηφιακή Σχεδίαση Ενότητα 10:

Εισαγωγή στο Εργαστήριο Υλικού

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περιεχόµενα. Πρόλογος Εισαγωγή 21

Σχεδιασμός Συνδυαστικού κυκλώματος και υλοποίηση στο Quartus και στο Logisim. Υλοποίηση κυκλώματος μόνο με πύλες Nand 2 εισόδων.

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 11

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Καθιερωµένα Γραφικά Σύµβολα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

Σχεδίαση Ψηφιακών Συστημάτων

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

Ολοκληρωμένα Κυκλώματα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1

Σχεδίαση Ψηφιακών Συστηµάτων

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

ΗΜΥ203 Εργαστήριο Κυκλωµάτων και Μετρήσεων

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

Φόρμα Σχεδιασμού Διάλεξης (ημ/α:15/10/07, έκδοση:0.1 ) 1. Κωδικός Μαθήματος : 2. Α/Α Διάλεξης : 1 1. Τίτλος : 1. Εισαγωγή στην Αρχιτεκτονική Η/Υ

Εισαγωγή στην πληροφορική

ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΑΠΟ ΤΑ ΘΕΜΑΤΑ ΤΩΝ ΠΑΝΕΛΛΗΝΙΩΝ ΕΞΕΤΑΣΕΩΝ ΚΕΦΑΛΑΙΟ 7-8 (ΚΑΤΑΧΩΡΗΤΕΣ & ΑΠΑΡΙΘΜΗΤΕΣ)

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια

Αρχιτεκτονικές Υπολογιστών

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΜΙΧΑΛΗΣ ΨΑΡΑΚΗΣ ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΙΡΑΙΩΣ

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης

ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση

Σχεδιασμός Ψηφιακών Συστημάτων

Ψηφιακή Λογική Σχεδίαση

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ ΝΕΟ ΚΑΙ ΠΑΛΑΙΟ ΣΥΣΤΗΜΑ

Απαριθμητές (Ασύγχρονοι Σύγχρονοι, Δυαδικοί Δεκαδικοί)

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

12. ΚΑΤΑΧΩΡΗΤΕΣ. e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων 15/11/2010. Σχεδιασμός Ακολουθιακών Κυκλωμάτων 1

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters)

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

Transcript:

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Ατζέντα 1. Στόχοι 8 ου Εργαστηρίου 2. Οικουμενικός Καταχωτητής(74194) 3. Οικουμενικός καταχωρητής με D flip-flops 4. Σύγχρονοι Μετρητές Ακολουθιακά Κυκλώματα 5. Ανάλυση 5 ης εργαστηριακής άσκησης 6. Σχεδιασμός 5 ης άσκησης στο εργαστήριο Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 2

Στόχοι Εργαστηρίου Με την ολοκλήρωση αυτού του εργαστηρίου, πρέπειναείστεσεθέση: 1. Να υλοποιείτε κυκλώµατα καταχωρητών και µετρητών µε διαφορετικές προδιαγραφές και µε τη χρήση διαφορετικών στοιχείων. 2. Nα σχεδιάζετε ακολουθιακά κυκλώµατα και να τα υλοποιείτε τόσο στο Quartus, όσο και στο breadboard. 3. Να δηµιουργείτε κατάλληλα αρχεία προσοµοίωσης για έλεγχο των καταχωρητών, µετρητών και γενικά των ακολουθιακών κυκλωµάτων θα Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 3

Οικουμενικός Καταχωρητής (shift register) Δυνατότητες: 1. Συγκράτηση Δεδοµένων 2. Αριστερή ολίσθηση 3. Δεξιά ολίσθηση 4. Παράλληλη φόρτωση Δεδοµένων Σειριακές είσοδοι (για ολίσθηση) Είσοδοι για παράλληλη φόρτωση MODE επιλογή λειτουργίας Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 4

Αποτελέσματα προσομοίωσης shift register Επιλογές [S1 S0]: 00: Συγκράτηση Δεδοµένων 01: Αριστερή ολίσθηση 10: Δεξιά ολίσθηση 11: Παράλληλη φόρτωση Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 5

Οικουμενικός Καταχωρητής (4-bit) με πολυπλέκτες και D flip-flops [2x74153 & 2x7474] Ποια η διαφορά µεταξύ των εντολών shift και rotate; Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 6

Αποτελέσματα προσομοίωσης universal_register Επιλογές [S1 S0]: 00: Συγκράτηση Δεδοµένων 01: Περιστροφή προς τα δεξιά 11: Παράλληλη φόρτωση 10: Περιστροφή προς τα αριστερά Rotate >>> Shift >>> 1100 0110 0011 1001 1100 1100 0110 0011 0001 0000 Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 7

Σύγχρονος Μετρητής BCD Clear (θετικής λογικής) Modulo 2 (αρν. λογικής) Modulo 5 (αρν. λογικής) Μονάδες Clear (θετικής λογικής) Modulo 2 (αρν. λογικής) Modulo 5 (αρν. λογικής) Δεκάδες Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 8

Σύγχρονοι Μετρητές Ακολουθιακά Κυκλώματα Η παρούσα κατάσταση καθορίζεται από τις τιμές που «κρατούν» τα Flip-Flops H επόμενη κατάσταση του κάθε Flip-Flop καθορίζεταιαπό το ίδιο το πρόβλημα ή τις αποφάσεις του σχεδιαστή. Ηκάθε είσοδος των Flip-Flops απαιτεί μια συνάρτηση (συνήθως συνδυαστική) η οποία καθορίζεται με βάση μόνο την παρούσα κατάσταση (Moore) ή και σε συνδυασμό με τις εισόδους του κυκλώματος (Mealy) Οι έξοδοι μπορεί να είναι οι ίδιες με την κατάσταση των Flip- Flops ή να είναι και αυτές το αποτέλεσμα Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 9

Παράδειγμα Μετρητή 4 καταστάσεων Παρούσα Κατ. Επόμενη Κατ. Είσοδοι F-Fs Q1 Q0 Q1+ Q0+ D1 D0 0 0 0 1 0 1 0 1 1 0 1 0 1 0 1 1 1 1 1 1 0 0 0 0 Σε FSM με χρήση D F-Fs, οι είσοδοι D είναι στην ουσία ίδιες με την επόμενη κατάσταση λόγω του χαρακτηριστικού πίνακα του D F-F. Για εξαγωγή των λογικών εξισώσεων D1και D0, μπορούμε να επιλέξουμε τους ελαχιστόρουςπου δίνουν 1 στην αντίστοιχη είσοδο των F-Fs. D1= Q1 Q0+ Q1Q0 D0= Q1 Q0 + Q1Q0 Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 10

Παράδειγμα Μετρητή 4 καταστάσεων D1= Q1 Q0+ Q1Q0 D0= Q1 Q0 + Q1Q0 Πως θα μπορούσατε να εμφανίζετε στην έξοδο του πιο πάνω κυκλώματος τους αριθμούς 0,3,6,8 αντί των αριθμών 0,1,2,3; Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 11

Εργαστηριακή Άσκηση 5 Σχεδιάστε έναν καταχωρητή4-bitχρισημοποιώνταςτο ολοκληρωμένο 74194(shift register) και στη συνέχεια επιβεβαιώστε την ορθή του λειτουργία με το αρχείο προσομοίωσης shift_register.vwf. Σχεδιάστε έναν καταχωρητή 4-bit χρισημοποιώντας τo ολοκληρωμένo74153 (2 πολυπλέκτες4x1) και το 7474 (2D F-Fs) με δυνατότητες συγκράτησης, περιστροφής(rotation) και παράλληλης φόρτωσης.επιβεβαιώστε την ορθή του λειτουργία με το αρχείο προσομοίωσης universal_register.vwf. Σχεδιάστε ένα μετρητή BCD 2 ψηφίων με D Flip-Flopsκαι ελέγξτε την ορθή του λειτουργίαδημιουργώντας δική σας προσομοίωση συνολικής διάρκειας 10μs. Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 12

Στόχοι Εργαστηρίου Με την ολοκλήρωση αυτού του εργαστηρίου, θα πρέπει να είστε σε θέση: 1. Να υλοποιείτε ασύγχρονους μετρητές και άλλα ακολουθιακά κυκλώματα χρησιμοποιώντας JK και D Flip-Flops. 2. Nα ορίζετε διαφορετικούς σχεδιασμούς ως Top-Level Entity, να δημιουργείτε σύμβολα από δικούς σας σχεδιασμούς (αρχεία.bsf), και να είστε σε θέση να ρυθμίζετε σωστά τις σχετικές παραμέτρους στο Quartus II. 3. Να δημιουργείτε και να χρησιμοποιείτε σωστά τους διαύλους (data/address busses) και τις ονομασίες καλωδίων στο Quartus. 4. Να ρυθμίζετε πλήρως τις παραμέτρους σε μια προσομοίωση και να υπολογίζετε τις χρονικές καθυστερήσεις που προκύπτουν σε κάποιο σχεδιασμό. Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 13

Μαθησιακά Αποτελέσματα Με την ολοκλήρωση αυτού του εργαστηρίου θα πρέπει να μπορείτε να: Υλοποιείτε κυκλώματα καταχωρητών και σύγχρονων μετρητών. Ορίζετε διαφορετικούς σχεδιασμούς ως Top-LevelEntity, να δημιουργείτε σύμβολα από δικούς σας σχεδιασμούς και να είστε σε θέση να ρυθμίζετε σωστά τις σχετικές παραμέτρους στο Quartus II. Σχεδιάζετε και να χρησιμοποιείτε τα ακολουθιακά κυκλώματα με JK και D Flip-Flops. Γνωρίζετε τις διαφορές μεταξύ μηχανών Moore και Mealy και να μπορείτε να τις σχεδιάσετε βάσει προκαθορισμένων προδιαγραφών. Νοέµβριος 14 Εργαστήριο Ψηφιακών Συστηµάτων Γ.Ζ. - 14