Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "nkavv@physics.auth.gr"

Transcript

1 Γλώσσες Περιγραφής Υλικού Εισαγωγή στην VHDL Νικόλαος Καββαδίας 17 Μαρτίου 2009

2 Αντικείμενο του μαθήματος CST256: Γλώσσες Περιγραφής Υλικού Επιμέρους στόχοι του μαθήματος Σχεδιασμός ψηφιακών κυκλωμάτων με τη γλώσσα περιγραφής υλικού VHDL Παρουσίαση χαρακτηριστικών συνθέσιμων κυκλωμάτων που συναντώνται στη μοντέρνα ψηφιακή σχεδίαση Εργαστηριακή εξάσκηση στην περιγραφή και προσομοίωση ψηφιακών κυκλωμάτων Τρόπος εξέτασης του μαθήματος Γραπτές εξετάσεις στο τέλος του εξαμήνου: 60% του τελικού βαθμού Εργασία: 40% του τελικού βαθμού Ενημέρωση για ανακοινώσεις, διαλέξεις, ύλη, εργασίες από τον ιστότοπο του μαθήματος:

3 Περίγραμμα μαθήματος (1) Εισαγωγή στην VHDL Ιστορικό της ανάπτυξης της γλώσσας Τα επίπεδα μοντελοποίησης για την περιγραφή ενός ψηφιακού κυκλώματος σε VHDL Τυποποιήσεις που διέπουν τη χρήση της VHDL Βασικά δομικά στοιχεία της VHDL Οντότητες Περιγραφές αρχιτεκτονικών Βαθμωτοί και σύνθετοι τύποι δεδομένων Διεργασίες Συντρέχων και ακολουθιακός κώδικας Συστατικά και δομικές περιγραφές Διαδικασίες και συναρτήσεις Πακέτα και βιβλιοθήκες Ιδιότητες Διαμορφώσεις

4 Περίγραμμα μαθήματος (2) Σχεδιασμός κυκλωμάτων με την VHDL Βασικά συνδυαστικά κυκλώματα Βασικά ακολουθιακά κυκλώματα Γενικές σταθερές Μοντελοποίηση παραμετρικών κυκλωμάτων Γεννήτορες δομών Μηχανές πεπερασμένων καταστάσεων VHDL για προχωρημένους Μοντελοποίηση κυκλωμάτων για λογική σύνθεση Λειτουργικός έλεγχος της ορθής λειτουργίας των κυκλωμάτων (τεχνικές συγγραφής testbench) Κυκλώματα επεξεργασίας δεδομένων - χειριστές δεδομένων Μοντελοποίηση απλών επεξεργαστών Παρουσίαση υποδειγματικής εργασίας

5 Οργάνωση των παραδόσεων Ενδεικτική κατανομή των διαλέξεων 1 Εισαγωγή στην VHDL 2 Δομές ακολουθιακού και συντρέχοντος κώδικα 3 Προχωρημένα στοιχεία της VHDL 4 Σύνταξη παραμετρικών περιγραφών 5 Σύνταξη κώδικα για λογική σύνθεση 6 Δομές ελέγχου/επαλήθευσης λειτουργίας των κυκλωμάτων 7 Μηχανές πεπερασμένων καταστάσεων 8 Υποδειγματική εργασία 9 Κυκλώματα επεξεργασίας δεδομένων - χειριστές δεδομένων 10 Μοντελοποίηση απλών επεξεργαστών 11 Τεχνικές περιγραφής και κυκλώματα για προχωρημένους

6 Εισαγωγικά Η VHDL αποτελεί μια γλώσσα καταγραφής δομής και περιγραφής λειτουργικής συμπεριφοράς Δεν ϑα την αντιμετωπίσουμε ως άλλη μία γλώσσα διαδικαστικού προγραμματισμού Επιτρέπει τη μοντελοποίηση υλικού Περιγραφή ψηφιακών κυκλωμάτων από το επίπεδο πύλης μέχρι το αλγοριθμικό επίπεδο VHDL είναι η συντομευμένη εκδοχή του αρκτικόλεξου VHSIC HDL : Very High Speed Integrated Circuit Hardware Description Language Αναπτύχθηκε με βάση τη γλώσσα Ada ύστερα από αίτημα του αμερικανικού Department of Defense κατά τα μέσα της δεκαετίας του 80

7 Δυνατότητες και χαρακτηριστικά της VHDL Επιτρέπει τη χρήση διαφορετικών μεθοδολογιών σχεδιασμού και δεν προδιαθέτει ούτε επιβάλλει κάποια συγκεκριμένη Προσφέρει ανεξαρτησία από την εκάστοτε τεχνολογία υλοποίησης (standard cell VLSI, FPGA) Διευκολύνει την επικοινωνία σχεδίων μεταξύ συνεργαζόμενων ομάδων σχεδιασμού Βοηθά στην καλύτερη διαχείριση του έργου του σχεδιασμού Στην VHDL μπορεί να περιγραφεί ένα μεγάλο εύρος ψηφιακών κυκλωμάτων Χαρακτηριστικά της είναι: Αυστηρή τυποποίηση η οποία βοηθά στον περιορισμό των σφαλμάτων Βασίζεται στη χρήση εξωτερικών βιβλιοθηκών για την πρόσβαση σε τύπους δεδομένων και συχνά χρησιμοποιούμενες ρουτίνες

8 Λογική σύνθεση Σκοπός της περιγραφής ψηφιακών κυκλωμάτων είναι η υλοποίησή τους σε ολοκληρωμένο Η λογική σύνθεση είναι είδος μεταγλώττισης από το υψηλό επίπεδο μιας HDL (δομική, RTL ή μικτή) περιγραφής στο χαμηλό επίπεδο της λίστας κόμβων (netlist) με τα στοιχειώδη κυκλωματικά στοιχεία της τεχνολογίας Δημοφιλείς τεχνολογίες: διεργασίες τυποποιημένου κελιού (standard cell VLSI), FPGA Περιορισμοί στον τρόπο σχεδιασμού με μια HDL ώστε η τελική περιγραφή/κώδικας να είναι συνθέσιμη Κατάλληλες τεχνικές στην ανάπτυξη του κώδικα οδηγούν στην επίτευξη καλύτερων επιδόσεων (ως προς ταχύτητα επεξεργασίας, επιφάνεια ολοκληρωμένου, κατανάλωση ισχύος/ενέργειας) Για τη σύνθεση χρησιμοποιούνται εμπορικά εργαλεία (ISE Webpack, LeonardoSpectrum, Synopsys DC) ή εργαλεία ανοικτού κώδικα (ABC, Alliance, OCEAN, Signs, VPR) με ισχυρούς αυτοματισμούς (βελτιστοποίηση άκυκλων γράφων, παραγωγή διανυσμάτων ελέγχου, κ.α.)

9 Επιλογή της κατάλληλης γλώσσας περιγραφής υλικού: VHDL και Verilog HDL Η VHDL αρχικά σχεδιάστηκε με σκοπό την αυτοτεκμηρίωση (self-documentation) ψηφιακών συστημάτων Με την ανάπτυξη κατάλληλων εργαλείων λογισμικού χρησιμοποιήθηκε για την προσομοίωση και τη λογική σύνθεση κυκλωμάτων Εν γένει, οποιοδήποτε κύκλωμα μπορεί να μοντελοποιηθεί σε VHDL μπορεί να μοντελοποιηθεί και στην Verilog HDL και το αντίστροφο Βασικά κριτήρια στην επιλογή γλώσσας περιγραφής υλικού (HDL) στο ψηφιακό σχεδιασμό είναι: Διαθεσιμότητα εργαλείων ανάπτυξης Δυνατότητα επαναχρησιμοποίησης κώδικα Υποκειμενικά κριτήρια όπως οικειότητα με τις συντακτικές δομές της γλώσσας

10 Ιστορική αναδρομή της ανάπτυξης της VHDL

11 Πεδία και επίπεδα μοντελοποίησης (1)

12 Πεδία και επίπεδα μοντελοποίησης (2)

13 Πεδία και επίπεδα μοντελοποίησης (3)

14 Πεδία και επίπεδα μοντελοποίησης (4)

15 Πρακτικές που ϑα εξασκηθούν για τον ψηφιακό σχεδιασμό στο μάθημα Θα δοθεί βάρος στο σχεδιασμό κυκλωμάτων σε επίπεδο λογικών πυλών (gate level design) και σε επίπεδο μεταφοράς καταχωρητή (για συντομία: RTL) Οι περιγραφές κυκλωμάτων από το αλγοριθμικό επίπεδο (επίπεδο συμπεριφοράς ) δεν είναι πάντα συνθέσιμες Ακόμη και όταν είναι συνθέσιμες, τα φυσικά χαρακτηριστικά του παραγώμενου κυκλώματος είναι δύσκολο να εκτιμηθούν (μέγιστη επιτρεπτή συχνότητα ρολογιού, απαιτήσεις σε επιφάνεια υλικού) Τα εργαλεία σύνθεσης υψηλού επιπέδου (High-Level Synthesis: HLS) στοχεύουν ακριβώς στη λογική σύνθεση από το αλγοριθμικό επίπεδο Στην πράξη, ο σχεδιασμός ενός ψηφιακού συστήματος ακολουθεί ιεραρχική δομή Σχεδιασμός υπομονάδων: RTL Διασύνδεση υπομονάδων για τη δημιουργία του συνολικού κυκλώματος: δομική περιγραφή

16 Προκαθορισμένες λογικές πύλες στη VHDL (1) a b a and b a b a or b a b a xor b

17 Προκαθορισμένες λογικές πύλες στη VHDL (2) a not a a b a nand b a b a nor b a b a xnor b

18 Ιεραρχικός σχεδιασμός στην VHDL (Αγγλικά)

19 Ιεραρχικός σχεδιασμός στην VHDL (Ελληνικά)

20 Ενα πολύ απλό παράδειγμα Στο παρακάτω μοντέλο η αρχιτεκτονική rtl της οντότητας andgate υλοποιεί μια πύλη AND -- this is the entity entity andgate is port ( in1 : in BIT; in2 : in BIT; out1: out BIT ); end andgate; architecture rtl of andgate is begin out1 <= in1 and in2; end rtl;

21 Θεμελιώδεις γνώσεις για τη συγγραφή κώδικα VHDL Οι βασικές δομές της VHDL είναι η ΟΝΤΟΤΗΤΑ (ENTITY) και η ΑΡΧΙΤΕΚΤΟΝΙΚΗ (ARCHITECTURE) της περιγραφής ενός κυκλώματος ENTITY: Η διεπαφή του κυκλώματος (ϑύρες εισόδου και εξόδου) με το περιβάλλον ARCHITECTURE: Καταγράφει τους απαιτούμενους μηχανισμούς λειτουργίας για την υλοποίηση του κυκλώματος Δεν υφίσταται ευαισθησία πεζών-κεφαλαίων (case insensitivity). Κεφαλαίοι και πεζοί χαρακτήρες χρησιμοποιούνται ελεύθερα για τη σύνταξη λέξεων-κλειδιών, τελεστών (τερματικά) και αναγνωριστικών (identifiers) που είναι μη τερματικά (non-terminals) Η γραμμή σχολίου δηλώνεται με δύο διαδοχικές παύλες: -- Ο τύπος δεδομένων BIT είναι προκαθορισμένος και μπορεί να πάρει τις τιμές 0 ή 1

22 ΟΝΤΟΤΗΤΑ (1) Περιγράφει τον τρόπο διασύνδεσης του κυκλώματος Δήλωση των ϑυρών εισόδου/εξόδου προς και από το κύκλωμα Δήλωση γενικών σταθερών με εμβέλεια την οντότητα και τις αρχιτεκτονικές που υπάγονται σε αυτή Για μια ϑύρα δηλώνονται: όνομα, κατευθυντικότητα, τύπος δεδομένων Τύποι ϑυρών: IN, OUT, INOUT, BUFFER IN: Είσοδος OUT: Εξοδος (δεν διαβάζεται εσωτερικά) INOUT: Είσοδος και έξοδος BUFFER: Εξοδος με δυνατότητα εσωτερικής ανάγνωσης

23 ΟΝΤΟΤΗΤΑ (2) Z Καλό είναι να αποφεύγεται η χρήση του τύπου ϑύρας buffer. Οταν χρησιμοποιούνται ιεραρχικά, οι ϑύρες buffer μπορούν να συνδεθούν μόνο με άλλες ϑύρες buffer κάτι που υποχρεώνει το ιεραρχικό κύκλωμα να παρουσιάζει διεπαφή τύπου buffer. Αυτό περιορίζει την διασύνδεσιμότητα του κυκλώματος με άλλες μονάδες. Σύνταξη μιας οντότητας: entity name-of-entity is generic ( generic_list with possible initializations ); port ( port_list ); end [entity] name-of-entity;

24 ΑΡΧΙΤΕΚΤΟΝΙΚΗ (1) Αποδίδει τη λειτουργικότητα (εσωτερικοί μηχανισμοί) του σχεδιαζόμενου κυκλώματος Καταγράφει δηλώσεις υποπρογραμμάτων, σταθερών, συστατικών και σημάτων στην περιοχή δηλώσεων Στο σώμα της αρχιτεκτονικής (architecture body) δίνεται ο τρόπος λειτουργίας του κυκλώματος Μπορεί να περιλαμβάνει συντρέχοντα ή/και ακολουθιακό κώδικα Στο παράδειγμα της πύλης and χρησιμοποιείται μια συντρέχουσα ανάθεση (concurrent assignment) Κάθε κύκλωμα περιγράφεται από μία μόνο οντότητα, αλλά επιτρέπονται περισσότερες της μιας αρχιτεκτονικές υλοποιήσεις

25 ΑΡΧΙΤΕΚΤΟΝΙΚΗ (2) Σύνταξη μιας αρχιτεκτονικής: architecture architecture-name is [architecture declarations] begin [concurrent statements] [sequential statements] [structural code] end [architecture] architecture-name;

26 Ο πλήρης αθροιστής δυαδικού ψηφίου: Προδιαγραφές Η διεπαφή του πλήρους αθροιστή (full-adder) Ο πίνακας αληθείας του full-adder a b cin s cout Υπολογισμός του ψηφίου s Υπολογισμός του ψηφίου cout

27 Ο πλήρης αθροιστής δυαδικού ψηφίου: Υλοποίηση σε VHDL library IEEE; use IEEE. std_logic_1164.all; entity full_adder is port ( a : in STD_LOGIC; b : in STD_LOGIC; cin : in STD_LOGIC; s : out STD_LOGIC; cout : out STD_LOGIC ); end full_adder; architecture structural of full_adder is begin s <= a xor b xor cin; cout <= (a and b) or (a and cin) or (b and cin); end structural;

28 Ο κύκλος της προσομοίωσης κυκλωματικών περιγραφών σε VHDL Η VHDL χρησιμοποιεί τον παρακάτω κύκλο προσομοίωσης για την μοντελοποίηση της διέγερσης (stimulus) και της απόκρισης (response) των ψηφιακών κυκλωμάτων

29 Η έννοια της χρονικής καθυστέρησης (delay) στην VHDL (1) Οι αναθέσεις σημάτων παρουσιάζουν μια χρονική καθυστέρηση για την ενημέρωση της εξόδου ως προς τις εφαρμοζόμενες τιμές εισόδου Τύποι (χρονικής) καθυστέρησης: Αδρανειακή (inertial) καθυστέρηση: καθυστέρηση διάδοσης λόγω εσωτερικής αδράνειας απόκρισης. Παράδειγμα: output <= not (input) after 10 ns; Καθυστέρηση βήματος δέλτα (delta delay): η μονάδα χρόνου δέλτα προσδιορίζει το χρόνο που χρειάζεται για την ανανέωση των σημάτων στο κύκλωμα (εσωτερικών ή εξόδων) Υπολογισμοί των σημάτων σε βήματα delta μέχρις ότου δεν υπάρχει δραστηριότητα (activity) στο κύκλωμα

30 Η έννοια της χρονικής καθυστέρησης (delay) στην VHDL (2) Παράδειγμα: Δέλτα Ενέργειες 1 A: 1 0, B 1 υπολ. NAND,NOT 2 C: 0 1 υπολ. NAND,AND 3 D: 1 0, E:0 1 υπολ. AND 4 E: 1 0

31 Οι τύποι BIT, STD_ULOGIC, STD_LOGIC και οι αντίστοιχοι διανυσματικοί τύποι (1) Τα σήματα αντιστοιχούν σε φυσικό επίπεδο με καλώδια (wires) τα οποία διασυνδέουν τις διάφορες υπομονάδες Σαν σήματα αντιμετωπίζονται και οι ϑύρες μιας οντότητας Προκαθορισμένοι τύποι στην VHDL είναι: BIT: 0, 1 Διάνυσμα (μονοδιάστατος πίνακας) από BIT (BIT_VECTOR): π.χ. στο myvector(0 to 3) αναθέτουμε την τιμή Z Οι εκφράσεις <LSB> to <MSB> και <MSB> downto <LSB> δηλώνουν περιοχή τιμών ή διευθύνσεων Το πρότυπο IEEE καθορίζει τους εξής τύπους σημάτων: std_ulogic και std_logic με τους διανυσματικούς τύπους std_ulogic_vector και std_logic_vector

32 Οι τύποι BIT, STD_ULOGIC, STD_LOGIC και οι αντίστοιχοι διανυσματικοί τύποι (2) Ο τύπος std_ulogic υλοποιεί λογική MVL9 (με 9 επίπεδα λογικής) Στον std_ulogic δύο ή περισσότερα συγκρουόμενα (conflicting) λογικά επίπεδα (π.χ. κατά την οδήγηση μιας τιμής από διαφορετικές πηγές) δεν μπορούν να αναλυθούν. Ο τύπος std_logic (MVL8: MVL9 χωρίς το U ) προσφέρει αυτόματη επίλυση Επίπεδο Ερμηνεία U uninitialized X forcing unknown 0 forcing 0 1 forcing 1 Z high impedance W weak unknown L weak 0 H weak 1 don t care X 0 1 Z W L H - X X X X X X X X X 0 X 0 X X 1 X X X Z X 0 1 Z W L H X W X 0 1 W W W W X L X 0 1 L W L W X H X 0 1 H W W H X - X X X X X X X X

33 Λέξεις-κλειδιά (keywords) της VHDL abs else literal protected then access elsif loop pure to after end map range transport alias entity mod record type all exit nand reference unaffected and file new register units architecture for next reject until array function nor rem use assert generate not report variable attribute generic null return wait begin group of rol when block guarded on ror while body if open select with buffer inpure others severity xnor bus in out signal xor case inertial package shared component inout port sla configuration is postponed sll constant label procedural sra disconnect library procedure srl downto linkage process subtype Μπλε: Χρήση σε συνθέσιμο κώδικα Κυανό: Εξάρτηση από συγκεκριμένες βιβλιοθήκες Κόκκινο: Οχι για σύνθεση Μωβ: Δεν συστήνεται η χρήση του Πράσινο: Σε κώδικα επαλήθευσης (testbench)

34 Τύποι δεδομένων για την VHDL Τύποι δεδομένων, προκαθορισμένες σταθερές και ένα σύνολο από βοηθητικές υπορουτίνες (συναρτήσεις, διαδικασίες) είναι δηλωμένα στα ΠΑΚΕΤΑ (PACKAGES) των ΒΙΒΛΙΟΘΗΚΩΝ IEEE και STD: standard της std: Ορίζει τους τύπους δεδομένων BIT, BOOLEAN, INTEGER, REAL std_logic_1164 της IEEE: STD_ULOGIC, STD_LOGIC numeric_std της IEEE: SIGNED, UNSIGNED καθώς και αριθμητικούς, λογικούς και τελεστές σύγκρισης για αυτούς std_logic_unsigned, std_logic_signed στην IEEE βιβλιοθήκη γραμμένα από την Synopsys: Αριθμητικοί και τελεστές σύγκρισης για STD_LOGIC_VECTOR std_logic_arith της Synopsys: SIGNED, UNSIGNED καθώς και αριθμητικούς και τελεστές σύγκρισης για αυτούς

35 Συχνά χρησιμοποιούμενοι τύποι της VHDL ΤΥΠΟΣ ΤΙΜΗ ΠΡΟΕΛΕΥΣΗ std_ulogic U, X, 0, 1, Z, W, L, H, - std_logic_1164 std_ulogic_vector array of std_ulogic std_logic_1164 std_logic resolved std_ulogic std_logic_1164 std_logic_vector array of std_logic std_logic_1164 unsigned array of std_logic numeric_std, std_logic_arith signed array of std_logic numeric_std, std_logic_arith boolean true, false standard character 191 / 256 characters standard string array of character standard integer (2 31 1) to standard real 1.0E38 to 1.0E38 standard time 1 fs to 1 hr standard

36 Τύποι δεδομένων στο package STANDARD BIT: 0, 1 BIT_VECTOR: "001100", X"00FF" στο δεκαεξαδικό BOOLEAN: true, TRUE, TruE για το αληθές και False, false, FALsE για το ψευδές CHARACTER: A, Ενας πίνακας από CHARACTER αποτελεί συμβολοσειρά (string): "hold time out of range", "i ll be back", "0$#1324" REAL: -1.0, +2.35, 36.6, -1.0E+38 INTEGER με εύρος τιμών {-2,147,483,647, +2,147,483,647}: +1, 862, -257, +15 TIME: 10 ns, 100 us, 6.3 ns

37 Οι τύποι SIGNED και UNSIGNED Περιοχή τιμών: unsigned: 0 to 2 N - 1 signed: 2 N 1 to 2 N 1-1 (για αναπαράσταση συμπλήρωμα ως-προς-2) Χρήση παραπλήσια με τον τύπο std_logic_vector: signal A_unsigned : unsigned(3 downto 0); signal B_signed : signed (3 downto 0); signal C_slv : std_logic_vector (3 downto 0); A_unsigned <= "1111"; decimal B_signed <= "1111"; decimal C_slv <= "1111"; decimal only if using std_logic_unsigned

38 ΒΙΒΛΙΟΘΗΚΗ (LIBRARY) Μια LIBRARY αποτελεί συλλογή από κοινώς χρησιμοποιούμενα τμήματα κώδικα. Απαρτίζεται από ΠΑΚΕΤΑ (PACKAGES) τα οποία περιλαμβάνουν δηλώσεις COMPONENTS και δηλώσεις/υλοποιήσεις FUNCTIONS και PROCEDURES LIBRARY library_name; USE library_name. package_name. package_parts; Συχνά χρησιμοποιούμε τις βιβλιοθήκες IEEE, STD και WORK. Οι STD και WORK δεν χρειάζεται να δηλωθούν. Στην WORK μεταγλωττίζονται τα αρχεία πηγαίου κώδικα του χρήστη, κατά σύμβαση: LIBRARY IEEE; USE IEEE. std_logic_1164.all; USE IEEE. numeric_std.all; USE STD.standard.all; USE work.all; Με all ζητείται το συνολικό περιεχόμενο ενός package

39 Ονοματοδοσία αναγνωριστικών Ενα όνομα αναγνωριστικού ξεκινά με αλφαβητικό χαρακτήρα (a--z) και ακολουθείται από αλφαριθμητικό χαρακτήρα ή υπογράμμιση (underscore) Η VHDL είναι case-insensitive και έτσι το x δεν διαφέρει από το X Οι λέξεις-κλειδιά της VHDL δεν είναι έγκυρα αναγνωριστικά Εγκυρα αναγνωριστικά: xyz, red, marker, Nexus6 Μη αποδεκτά: in, out, signal, port Επιτρέπονται ιεραρχικά αναγνωριστικά με τα επίπεδα της ιεραρχίας διακρινόμενα με. : library_name.item_name, my_defs.unit_delay

40 Αντικείμενα στην VHDL: ΣΤΑΘΕΡΑ (CONSTANT), ΣΗΜΑ (SIGNAL) και ΜΕΤΑΒΛΗΤΗ(VARIABLE) Αντικείμενο στην VHDL: Σταθερής (CONSTANT) ή μεταβαλλόμενης τιμής (SIGNAL, VARIABLE) Η δήλωση ενός αντικειμένου περιλαμβάνει ΥΠΟΧΡΕΩΤΙΚΑ όνομα (αναγνωριστικό) και τύπο Ο τύπος ενός αντικειμένου δεν μπορεί να μεταβληθεί Βαθμωτά (scalar) ή διανυσματικά (πίνακας: array) αντικείμενα Για αντικείμενα τύπου array Αν S το όνομα ενός array τύπου std_logic_vector S(3) είναι ένα στοιχείο του και αποτελεί βαθμωτό αντικείμενο τύπου std_logic S(4 downto 1) είναι ένα πεδίο (φέτα: slice) του πίνακα

41 Δήλωση ΣΤΑΘΕΡΑΣ (CONSTANT) Σε μια ΣΤΑΘΕΡΑ ανατίθεται μία τιμή η οποία δεν μπορεί στη συνέχεια να μεταβληθεί Οι σταθερές αντικαθιστούν συχνά εμφανιζόμενες αριθμητικές τιμές ή συμβολοσειρές Σταθερές οι οποίες είναι δηλωμένες σε ένα PACKAGE έχουν καθολική εμβέλεια Σύνταξη μιας CONSTANT: constant identifier : type-indication [:=expression]; Παραδείγματα δηλώσεων για CONSTANTS: constant PI : REAL := ; constant CYCLE : TIME := 100 ns; constant FIVE : INTEGER := 3; constant FIVE : BIT_VECTOR := "0101"; Δηλώνονται σε πακέτα, στην περιοχή δηλώσεων μιας οντότητας, στην περιοχή δηλώσεων μιας αρχιτεκτονικής, σε υποπρογράμματα

42 Δήλωση ΜΕΤΑΒΛΗΤΗΣ (VARIABLE) Μια ΜΕΤΑΒΛΗΤΗ αποτελεί ένα αντικείμενο στο οποίο κάποια στιγμή ανατίθεται μία τιμή η οποία μπορεί να μεταβληθεί εντός μιας διεργασίας (PROCESS) Χρησιμοποιείται στη σύνταξη ακολουθιακού κώδικα Μια VARIABLE μπορεί να δηλωθεί με περιοχή (range) τιμών Η ανάθεση μιας νέας τιμής στην VARIABLE συμβαίνει ακαριαία Σύνταξη της δήλωσης μιας VARIABLE: variable identifier : type-indication [constraint] [:=expression]; Παραδείγματα δηλώσεων VARIABLES: variable index: INTEGER range 1 to 50 := 50; variable x, y : INTEGER; variable cycle_time : TIME range 10 ns to 50 ns := 15 ns; variable memory : STD_LOGIC_VECTOR(0 to 7); Μπορούν να δηλωθούν σε διεργασίες και υποπρογράμματα

43 Δήλωση ΣΗΜΑΤΟΣ (SIGNAL) Τα ΣΗΜΑΤΑ χρησιμοποιούνται για την υλοποίηση διασυνδέσεων εντός ενός κυκλώματος αλλά και για την εξωτερική διασύνδεση διαφορετικών μονάδων σχεδιασμού Ενα SIGNAL μπορεί να δηλωθεί όπου και μία CONSTANT Χρησιμοποιείται εντός διεργασιών σε ακολουθιακό κώδικα και εκτός διεργασιών σε συντρέχοντα κώδικα Z Η ενημέρωση ενός SIGNAL με νέα τιμή σε μια διεργασία ΔΕΝ είναι ακαριαία αλλά πραγματοποιείται σε χρόνο προσομοίωσης Σύνταξη της δήλωσης ενός SIGNAL: signal identifier : type-indication [constraint] [:=expression]; Παραδείγματα δηλώσεων SIGNALS: signal control: BIT := 0 ; signal count: INTEGER range 0 to 100; signal y: STD_LOGIC_VECTOR(7 downto 1);

44 Τελεστές της VHDL (VHDL operators) Συνοπτικός πίνακας των τελεστών λογικοί and or nand nor xor xnor not αριθμητικοί + - * / mod rem σύγκρισης / < < > > ολίσθησης sll srl sla sra rol ror μοναδιαίοι + - άλλοι ** abs & Οι τελεστές αναγωγής σε δύναμη "**", απόλυτης τιμής "abs", και υπολογισμού ακέραιου υπολοίπου ("mod", "rem") δεν είναι συνθέσιμοι Οι τελεστές πολλαπλασιασμού και διαίρεσης υποστηρίζονται από ορισμένα εργαλεία λογικής σύνθεσης υπό προϋποθέσεις Η διαφορά των mod και rem είναι ότι: το A rem B παίρνει το πρόσημο του A ενώ το A mod B το πρόσημο του B

45 Προτεραιότητα τελεστών

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Σχόλια: - - This is a single line comment - - There is no alternative way to write multi-line comments Αναγνωριστικά: Τα αναγνωριστικά

Διαβάστε περισσότερα

Library, package και subprograms

Library, package και subprograms Library, package και subprograms Libraries Packages Subprograms Procedures Functions Overloading Αριθμητικά πακέτα Type conversion Shift operators Παράδειγμα Library - Package Ασκήσεις-Προβλήματα 12/8/2009

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών Θέμα Β.1: Απλός καταχωρητής 1 bit (D Flip-Flop) preset D D Q Q clk clear Σχήμα 2.1: D Flip-Flop με εισόδους preset και clear Με τη

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Τύποι Δεδομένων και Τελεστές Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr Αντίρριο

Διαβάστε περισσότερα

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι Εισαγωγή στη VHDL Εισαγωγή Very High Speed Integrated Circuits Hardware Description Language ιαφορές από γλώσσες προγραμματισμού: παράλληλη εκτέλεση εντολών προσδιορισμός

Διαβάστε περισσότερα

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL)

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL) Μοντελοποίηση Επιπέδου Πύλης (Peter Ashenden, The Students Guide to VHDL) Πολλαπλά Επίπεδα Τιµών Η κατάσταση µίας γραµµής δεν είναι πάντα 0 ή 1. ιαµάχες οδηγούν σε απροσδιοριστία. Χρήση πολλαπλών επιπέδων

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 VHDL, Χαρακτηριστικά και τρόποι περιγραφής Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Τμήμα Μηχανικών Πληροφορικής ΤΕ http://diceslab.cied.teiwest.gr

Διαβάστε περισσότερα

Σύνθετοι τύποι και λειτουργίες. (Peter Ashenden, The Students Guide to VHDL)

Σύνθετοι τύποι και λειτουργίες. (Peter Ashenden, The Students Guide to VHDL) Σύνθετοι τύποι και λειτουργίες (Peter Ashenden, The Students Guide to VHDL) Πίνακες Πίνακες: Αποτελούνται από στοιχεία του ίδιου τύπου. Μονοδιάστατοι Πίνακες type table1 is array (0 to 7) of std_logic;

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Οντότητες και συντρέχουσες δηλώσεις

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Οντότητες και συντρέχουσες δηλώσεις Περιγραφή Κυκλωμάτων με χρήση της VHDL Οντότητες και συντρέχουσες δηλώσεις Οργάνωση Παρουσίασης Οντότητα (Entity) Συντρέχουσα VHDL (Concurrent VHDL) Συντρέχουσες Δηλώσεις (Concurrent Statements) Αντικείμενα

Διαβάστε περισσότερα

Πτυχιακή Εργασία. Σχεδίαση Εφαρμογών Ψηφιακών Συστημάτων Με Τη Γλώσσα VHDL

Πτυχιακή Εργασία. Σχεδίαση Εφαρμογών Ψηφιακών Συστημάτων Με Τη Γλώσσα VHDL ΤΕΙ ΚΑΒΑΛΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΒΙΟΜΗΧΑΝΙΚΗΣ ΠΛΗΡΟΦΟΡΙΚΉΣ Πτυχιακή Εργασία Σχεδίαση Εφαρμογών Ψηφιακών Συστημάτων Με Τη Γλώσσα VHDL Αναστασόπουλος Νεκτάριος Δαρλαγιάννη Ιφιγένεια Πετρίδη

Διαβάστε περισσότερα

Οι Βιβλιοθήκες IEEE και παραδείγµατα functions

Οι Βιβλιοθήκες IEEE και παραδείγµατα functions Οι Βιβλιοθήκες IEEE και παραδείγµατα functions Βιβλιοθήκες µε την Εντολή Library Η VHDL επιτρέπει βιβλιοθήκες που ορίζονται µε τηνεντολή: library LibraryName; Εδώ, ο µεταγλωτιστης µπορεί να βρεί διάφορα

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Πανεπιστήμιο Πατρών Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων (VLSI) ΙΙ Εισαγωγή στη VHDL και το Εργαλείο

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 1 η Εργαστηριακή Άσκηση Εισαγωγή στη VHDL και στο εργαλείο Modelsim 1 Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons

Διαβάστε περισσότερα

Βασικές Έννοιες και Μέθοδοι της Οικονομικής Επιστήμης - Οικονομία (< οίκος + νέμω): Διαχείριση των Υποθέσεων ενός Νοικοκυριού - Γενικός Ορισμός: Μια

Βασικές Έννοιες και Μέθοδοι της Οικονομικής Επιστήμης - Οικονομία (< οίκος + νέμω): Διαχείριση των Υποθέσεων ενός Νοικοκυριού - Γενικός Ορισμός: Μια Βασικές Έννοιες και Μέθοδοι της Οικονομικής Επιστήμης - Οικονομία (< οίκος + νέμω): Διαχείριση των Υποθέσεων ενός Νοικοκυριού - Γενικός Ορισμός: Μια οικονομία είναι ένα σύνολο ανθρώπων που αλληλεπιδρούν

Διαβάστε περισσότερα

Δασικά Οικοσυστήματα και Τεχνικά Έργα

Δασικά Οικοσυστήματα και Τεχνικά Έργα Τμήμα Δασολογίας & Διαχείρισης Περιβάλλοντος & Φυσικών Πόρων Εργαστήριο Διευθέτησης Ορεινών Υδάτων και Διαχείρισης Κινδύνου Προπτυχιακό Πρόγραμμα Σπουδών Δασικά Οικοσυστήματα και Τεχνικά Έργα Κεφάλαιο

Διαβάστε περισσότερα

ΘΕΣΕΙΣ ΠΡΟΤΑΣΕΙΣ ΠΡΟΤΕΡΑΙΟΤΗΤΕΣ

ΘΕΣΕΙΣ ΠΡΟΤΑΣΕΙΣ ΠΡΟΤΕΡΑΙΟΤΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΠΡΥΤΑΝΙΚΕΣ ΕΚΛΟΓΕΣ 2014 ΘΕΣΕΙΣ ΠΡΟΤΑΣΕΙΣ ΠΡΟΤΕΡΑΙΟΤΗΤΕΣ ΓΕΩΡΓΙΟΣ Δ. ΚΑΨΑΛΗΣ ΚΑΘΗΓΗΤΗΣ ΥΠΟΨΗΦΙΟΣ ΠΡΥΤΑΝΗΣ Ιωάννινα, Ιούνιος 2014 1 Οι βασικές στοχεύσεις και προτεραιότητες

Διαβάστε περισσότερα

Αλγόριθμοι. Α. Υπολογιστικά Προβλήματα. Β. Εισαγωγή στους Αλγόριθμους. Γ. ομή Αλγόριθμων. Δ. ομές εδομένων

Αλγόριθμοι. Α. Υπολογιστικά Προβλήματα. Β. Εισαγωγή στους Αλγόριθμους. Γ. ομή Αλγόριθμων. Δ. ομές εδομένων Αλγόριθμοι Α. Υπολογιστικά Προβλήματα Β. Εισαγωγή στους Αλγόριθμους Γ. ομή Αλγόριθμων Δ. ομές εδομένων Α. Υπολογιστικά Προβλήματα Πρόβλημα: Μια μη αποδεκτή κατάσταση που χρειάζεται επίλυση. Η διατύπωση

Διαβάστε περισσότερα

Συνοπτική Παρουσίαση. Ελλάδα

Συνοπτική Παρουσίαση. Ελλάδα Ελλάδα Συνοπτική Παρουσίαση Η θρησκευτική ελευθερία προστατεύεται από το Σύνταγμα και άλλους νόμους και πολιτικές, με κάποιους περιορισμούς. Γενικώς, η κυβέρνηση σεβάστηκε εμπράκτως τη θρησκευτική ελευθερία,

Διαβάστε περισσότερα

Ασυντήρητες και επικίνδυνες οικοδομές

Ασυντήρητες και επικίνδυνες οικοδομές Ασυντήρητες και επικίνδυνες οικοδομές Στα τελευταία πέντε χρόνια έχουν καταγραφεί αρκετά περιστατικά πτώσης τμημάτων οικοδομών, κυρίως μπαλκονιών από πολυώροφες οικοδομές και είναι πραγματικά θαύμα το

Διαβάστε περισσότερα

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005 Κεφάλαιο 4 - iii: VHDL για Σχεδιασµό Συνδυαστικών Κυκλωµάτων Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL Γλώσσα προγραµµατισµού

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Η ελληνική κοινωνία απέναντι στην οικονομική κρίση

Η ελληνική κοινωνία απέναντι στην οικονομική κρίση Η ελληνική κοινωνία απέναντι στην οικονομική κρίση 1 ο Κύμα: 07-09 Σεπτεμβρίου 2009 VPRC ΚΑΤΕΥΘΥΝΣΗ ΤΗΣ ΧΩΡΑΣ Με την εικόνα που έχετε σήμερα για τη χώρα σε γενικές γραμμές πιστεύετε ότι τα πράγματα πηγαίνουν

Διαβάστε περισσότερα

ΚΩΔΙΚΑΣ ΔΕΟΝΤΟΛΟΓΙΑΣ

ΚΩΔΙΚΑΣ ΔΕΟΝΤΟΛΟΓΙΑΣ ΚΩΔΙΚΑΣ ΔΕΟΝΤΟΛΟΓΙΑΣ Προοίμιο Ο κώδικας δεοντολογίας του ΕΣΠΕΜ σκοπό έχει να κρατήσει υψηλά το κύρος του επαγγέλματος του μουσικοθεραπευτή στην Ελλάδα, να διαφυλάξει τους θεραπευόμενους από τυχόν μη δεοντολογικές

Διαβάστε περισσότερα

Εισαγωγή στη Γλώσσα VHDL

Εισαγωγή στη Γλώσσα VHDL Εισαγωγή στη Γλώσσα VHDL Παράδειγμα and3 Entity και Architecture Entity Entity - Παραδείγματα Architecture VHDL simulation παραδείγματος and3 Παράδειγμα NAND VHDL simulation παραδείγματος nand Boolean

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 8 ΣΥΜΠΕΡΑΣΜΑΤΑ

ΚΕΦΑΛΑΙΟ 8 ΣΥΜΠΕΡΑΣΜΑΤΑ ΚΕΦΑΛΑΙΟ 8 ΣΥΜΠΕΡΑΣΜΑΤΑ ΚΕΦΑΛΑΙΟ 8 : ΣΥΜΠΕΡΑΣΜΑΤΑ Σύμφωνα με τα όσα αναλυτικά έχουν περιγραφεί στα προηγούμενα κεφάλαια της παρούσας μελέτης η κατασκευή του τμήματος «Βρύσες Ατσιπόπουλο», του Βόρειου Οδικού

Διαβάστε περισσότερα

Έργο :ΕΡΓΑΣΙΕΣ ΣΥΝΤΗΡΗΣΗΣ ΟΙΚΟΔΟΜΙΚΩΝ ΚΑΙ Η/Μ ΣΧΟΛΙΚΩΝ ΚΤΗΡΙΩΝ ΕΤΟΥΣ 2012 ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ. Τιμαριθμική 2010Δ 1 ΓΕΝΙΚΟΙ ΟΡΟΙ

Έργο :ΕΡΓΑΣΙΕΣ ΣΥΝΤΗΡΗΣΗΣ ΟΙΚΟΔΟΜΙΚΩΝ ΚΑΙ Η/Μ ΣΧΟΛΙΚΩΝ ΚΤΗΡΙΩΝ ΕΤΟΥΣ 2012 ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ. Τιμαριθμική 2010Δ 1 ΓΕΝΙΚΟΙ ΟΡΟΙ ΤΕΧΝΙΚΗ ΥΠΗΡΕΣΙΑ Τιμαριθμική 2010Δ Δήμος : ΒΟΛΟΥ Έργο :ΕΡΓΑΣΙΕΣ ΣΥΝΤΗΡΗΣΗΣ ΟΙΚΟΔΟΜΙΚΩΝ ΚΑΙ Η/Μ ΣΧΟΛΙΚΩΝ ΚΤΗΡΙΩΝ ΕΤΟΥΣ 2012 ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ 1 ΓΕΝΙΚΟΙ ΟΡΟΙ 1.1 Αντικείμενο του παρόντος Τιμολογίου είναι

Διαβάστε περισσότερα

1. Εισαγωγή. 2. Καταπολέμηση της φοροδιαφυγής

1. Εισαγωγή. 2. Καταπολέμηση της φοροδιαφυγής Ενημερωτικό Σημείωμα για το Προσχέδιο Νόμου «Καταπολέμηση της φοροδιαφυγής, αναδιάρθρωση των φορολογικών υπηρεσιών και άλλες διατάξεις αρμοδιότητας υπουργείου οικονομικών» 25/1/2011 1. Εισαγωγή Το νέο

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 216-217 Συντρέχων Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών

Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών Τμήμα Οικονομικών Επιστημών Σημειώσεις με θέμα «Πιστωτικοί Τίτλοι» Πιστωτικοί τίτλοι καλούνται τα έγγραφα εκείνα με τα οποία αποδεικνύεται τόσο η ύπαρξη της

Διαβάστε περισσότερα

Τα πέντε κριτήρια που πρέπει να ικανοποιεί ένας αλγόριθμος είναι:

Τα πέντε κριτήρια που πρέπει να ικανοποιεί ένας αλγόριθμος είναι: Το κεφάλαιο 2 αναφέρεται στην έννοια του αλγορίθμου. Αλγόριθμος, σύμφωνα με το βιβλίο, είναι μια πεπερασμένη σειρά ενεργειών, αυστηρά καθορισμένων και εκτελέσιμων σε πεπερασμένο χρόνο, που στοχεύουν στην

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΚΟΣΤΟΛΟΓΗΣΗ ΚΑΙ ΤΗΝ ΑΝΑΛΥΤΙΚΗ ΛΟΓΙΣΤΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΚΟΣΤΟΛΟΓΗΣΗ ΚΑΙ ΤΗΝ ΑΝΑΛΥΤΙΚΗ ΛΟΓΙΣΤΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΚΟΣΤΟΛΟΓΗΣΗ ΚΑΙ ΤΗΝ ΑΝΑΛΥΤΙΚΗ ΛΟΓΙΣΤΙΚΗ ΝΙΚΟΛΑΟΣ ΑΡΝΗΣ ΚΑΘΗΓΗΤΗΣ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑΤΟΣ ΛΟΓΙΣΤΙΚΗΣ ΤΕΙ ΗΠΕΙΡΟΥ ΛΟΓΙΣΤΙΚΗ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗ ΛΟΓΙΣΤΙΚΗ ΔΙΟΙΚΗΤΙΚΗ ΛΟΓΙΣΤΙΚΗ 1 ΔΙΟΙΚΗΤΙΚΗ ΛΟΓΙΣΤΙΚΗ

Διαβάστε περισσότερα

ΣΥΓΚΡΙΣΗ ΣΥΜΒΑΤΙΚΗΣ ΚΑΙ ΜΗΧΑΝΟΠΟΙΗΜΕΝΗΣ ΜΕΘΟΔΟΥ ΣΠΟΡΑΣ ΚΑΙ ΜΕΤΑΦΥΤΕΥΣΗΣ ΣΠΟΡΟΦΥΤΩΝ ΛΑΧΑΝΙΚΩΝ

ΣΥΓΚΡΙΣΗ ΣΥΜΒΑΤΙΚΗΣ ΚΑΙ ΜΗΧΑΝΟΠΟΙΗΜΕΝΗΣ ΜΕΘΟΔΟΥ ΣΠΟΡΑΣ ΚΑΙ ΜΕΤΑΦΥΤΕΥΣΗΣ ΣΠΟΡΟΦΥΤΩΝ ΛΑΧΑΝΙΚΩΝ Τ.Ε.Ι ΚΑΛΑΜΑΤΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΑΣ ΓΕΩΠΟΝΙΑΣ ΤΜΗΜΑ ΦΥΤΙΚΗΣ ΠΑΡΑΓΩΓΗΣ ΣΥΓΚΡΙΣΗ ΣΥΜΒΑΤΙΚΗΣ ΚΑΙ ΜΗΧΑΝΟΠΟΙΗΜΕΝΗΣ ΜΕΘΟΔΟΥ ΣΠΟΡΑΣ ΚΑΙ ΜΕΤΑΦΥΤΕΥΣΗΣ ΣΠΟΡΟΦΥΤΩΝ ΛΑΧΑΝΙΚΩΝ ΤΟΥ ΣΠΟΥΔΑΣΤΗ: ΑΝΤΩΝΙΟΣ X. ΚΩΝΣΤΑΣ ΚΑΛΑΜΑΤΑ

Διαβάστε περισσότερα

ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΔΙΑΓΝΩΣΗ ΑΝΑΓΚΩΝ ΣΕ ΠΕΡΙΦΕΡΕΙΑΚΟ ΕΠΙΠΕΔΟ

ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΔΙΑΓΝΩΣΗ ΑΝΑΓΚΩΝ ΣΕ ΠΕΡΙΦΕΡΕΙΑΚΟ ΕΠΙΠΕΔΟ ΥΠΟ ΤΗΝ ΕΠΟΠΤΕΙΑ ΤΟΥ ΥΠΟΥΡΓΕΙΟΥ ΕΡΓΑΣΙΑΣ, ΚΟΙΝΩΝΙΚΗΣ ΑΣΦΑΛΙΣΗΣ ΚΑΙ ΚΟΙΝΩΝΙΚΗΣ ΑΛΛΗΛΕΓΓΥΗΣ ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ ΑΝΑΓΚΩΝ ΑΓΟΡΑΣ ΕΡΓΑΣΙΑΣ ΔΙΑΓΝΩΣΗ ΑΝΑΓΚΩΝ ΣΕ ΠΕΡΙΦΕΡΕΙΑΚΟ ΕΠΙΠΕΔΟ ΔΕΚΕΜΒΡΙΟΣ 2015 ΣΥΣΤΗΜΑ ΔΙΑΓΝΩΣΗΣ

Διαβάστε περισσότερα

ΣΤΗΝ ΤΡΟΙΖΗΝΙΑ ΑΠΟ ΑΓ.ΕΛΕΝΗ ΕΩΣ ΤΟΝ ΚΟΜΒΟ ΚΑΛΛΟΝΗΣ ΚΑΙ ΣΤΗΝ ΠΑΡΑΛΙΑ ΤΟΥ ΑΡΤΙΜΟΥ. ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ Τιμαριθμική 2012Α

ΣΤΗΝ ΤΡΟΙΖΗΝΙΑ ΑΠΟ ΑΓ.ΕΛΕΝΗ ΕΩΣ ΤΟΝ ΚΟΜΒΟ ΚΑΛΛΟΝΗΣ ΚΑΙ ΣΤΗΝ ΠΑΡΑΛΙΑ ΤΟΥ ΑΡΤΙΜΟΥ. ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ Τιμαριθμική 2012Α ΠΕΡΙΦΕΡΕΙΑ ΑΤΤΙΚΗΣ ΠΕΡΙΦΕΡΕΙΑΚΗ ΕΝΟΤΗΤΑ ΝΗΣΩΝ Δ/ΝΣΗ ΤΕΧΝΙΚΩΝ ΕΡΓΩΝ ΤΜΗΜΑ ΟΔ & Μ.Ε Αριθμός Μελέτης : 3 Δήμος : ΤΡΟΙΖΗΝΙΑΣ Εργο : ΑΠΟΚΑΤΑΣΤΑΣΗ ΒΛΑΒΩΝ ΣΤΑ ΔΙΚΤΥΑ ΟΔΟΦΩΤΙΣΜΟΥ ΣΤΗΝ ΤΡΟΙΖΗΝΙΑ ΑΠΟ ΑΓ.ΕΛΕΝΗ ΕΩΣ

Διαβάστε περισσότερα

ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ Τιμαριθμική 2012Α 1 ΓΕΝΙΚΟΙ ΟΡΟΙ 1.1 Αντικείμενο του παρόντος Τιμολογίου είναι ο καθορισμός των τιμών μονάδος με τις οποίες θα εκτελεσθεί το έργο, όπως προδιαγράφεται στα λοιπά τεύχη

Διαβάστε περισσότερα

ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ ΓΕΝΙΚΟΙ ΟΡΟΙ

ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ ΓΕΝΙΚΟΙ ΟΡΟΙ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΝΟΜΟΣ ΑΤΤΙΚΗΣ ΔΗΜΟΣ ΖΩΓΡΑΦΟΥ Δ/ΝΣΗ ΤΕΧΝΙΚΩΝ ΥΠΗΡΕΣΙΩΝ ΚΑΙ ΔΟΜΗΣΗΣ ΕΡΓΟ: ΑΝΤΙΣΤΗΡΙΞΗ ΚΑΙ ΕΝΙΣΧΥΣΗ ΤΟΥ ΥΠΑΡΧΟΝΤΟΣ ΤΟΙΧΕΙΟΥ ΣΤΟΝ ΠΕΡΙΒΑΛΛΟΝΤΑ ΧΩΡΟ ΤΗΣ ΠΛΑΤΕΙΑΣ ΑΓ.ΓΕΡΑΣΙΜΟΥ ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ

Διαβάστε περισσότερα

11. Προϋπολογισμός 11.1. Προϋπολογισμός και αποδοτικότητα δημοσίων υπηρεσιών: υφιστάμενη κατάσταση

11. Προϋπολογισμός 11.1. Προϋπολογισμός και αποδοτικότητα δημοσίων υπηρεσιών: υφιστάμενη κατάσταση 11. Προϋπολογισμός 11.1. Προϋπολογισμός και αποδοτικότητα δημοσίων υπηρεσιών: υφιστάμενη κατάσταση Το σύστημα σχεδιασμού και εκτέλεσης του κρατικού προϋπολογισμού, αποτελεί μία βασική παράμετρο προώθησης

Διαβάστε περισσότερα

ΚΩ ΙΚΑΣ ΕΟΝΤΟΛΟΓΙΑΣ ΤΗΣ ΕΤΑΙΡΕΙΑΣ ΜΕ ΤΗΝ ΕΠΩΝΥΜΙΑ «ΠΑΠΟΥΤΣΑΝΗΣ ΑΝΩΝΥΜΗ ΒΙΟΜΗΧΑΝΙΚΗ ΚΑΙ ΕΜΠΟΡΙΚΗ ΕΤΑΙΡΕΙΑ ΚΑΤΑΝΑΛΩΤΙΚΩΝ ΑΓΑΘΩΝ»

ΚΩ ΙΚΑΣ ΕΟΝΤΟΛΟΓΙΑΣ ΤΗΣ ΕΤΑΙΡΕΙΑΣ ΜΕ ΤΗΝ ΕΠΩΝΥΜΙΑ «ΠΑΠΟΥΤΣΑΝΗΣ ΑΝΩΝΥΜΗ ΒΙΟΜΗΧΑΝΙΚΗ ΚΑΙ ΕΜΠΟΡΙΚΗ ΕΤΑΙΡΕΙΑ ΚΑΤΑΝΑΛΩΤΙΚΩΝ ΑΓΑΘΩΝ» ΚΩ ΙΚΑΣ ΕΟΝΤΟΛΟΓΙΑΣ ΤΗΣ ΕΤΑΙΡΕΙΑΣ ΜΕ ΤΗΝ ΕΠΩΝΥΜΙΑ «ΠΑΠΟΥΤΣΑΝΗΣ ΑΝΩΝΥΜΗ ΒΙΟΜΗΧΑΝΙΚΗ ΚΑΙ ΕΜΠΟΡΙΚΗ ΕΤΑΙΡΕΙΑ ΚΑΤΑΝΑΛΩΤΙΚΩΝ ΑΓΑΘΩΝ» ΠΕΡΙΕΧΟΜΕΝΑ 1 Γενικά...3 2 Θέματα Απασχόλησης...3 3 Σύγκρουση συμφερόντων...4

Διαβάστε περισσότερα

ΠΡΟΕΤΟΙΜΑΣΙΑ ΓΙΑ ΣΥΝΕΝΤΕΥΞΗ. Βασικές συμβουλές

ΠΡΟΕΤΟΙΜΑΣΙΑ ΓΙΑ ΣΥΝΕΝΤΕΥΞΗ. Βασικές συμβουλές ΠΡΟΕΤΟΙΜΑΣΙΑ ΓΙΑ ΣΥΝΕΝΤΕΥΞΗ Βασικές συμβουλές Εισαγωγή Η συνέντευξη είναι ένας από τους δημοφιλέστερους τρόπους επιλογής προσωπικού - κυρίως στον ιδιωτικό τομέα - και αποτελεί ίσως το κρισιμότερο στάδιο

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν

Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν Προδημοσιεύτηκαν τα τέσσερις πρώτα προγράμματα του νέου ΕΣΠΑ που αφορούν μικρομεσαίες επιχειρήσεις και ελευθέρους επαγγελματίες. Τονίζεται ότι τα προγράμματα είναι σε προδημοσίευση. Με τη δημοσίευση της

Διαβάστε περισσότερα

Η Αγορά Ηλεκτρικής Ενέργειας στην Κύπρο έχει οργανωθεί σε τομείς που υπόκεινται στις ακόλουθες ρυθμίσεις:

Η Αγορά Ηλεκτρικής Ενέργειας στην Κύπρο έχει οργανωθεί σε τομείς που υπόκεινται στις ακόλουθες ρυθμίσεις: ΡΥΘΜΙΣΤΙΚΗ ΑΡΧΗ ΕΝΕΡΓΕΙΑΣ ΚΥΠΡΟΥ ΔΗΛΩΣΗ ΡΥΘΜΙΣΤΙΚΗΣ ΠΡΑΚΤΙΚΗΣ ΚΑΙ ΠΡΟΣΧΕΔΙΟ ΜΕΘΟΔΟΛΟΓΙΑΣ ΔΙΑΤΙΜΗΣΕΩΝ ΗΛΕΚΤΡΙΣΜΟΥ Η ΡΑΕΚ θέτει και δημοσιεύει την παρούσα πρόταση ως προς τις αρχές και τη Μεθοδολογία που

Διαβάστε περισσότερα

03-00: Βιομάζα για παραγωγή ενέργειας Γενικά ζητήματα εφοδιαστικών αλυσίδων

03-00: Βιομάζα για παραγωγή ενέργειας Γενικά ζητήματα εφοδιαστικών αλυσίδων Κεφάλαιο 03-00 σελ. 1 03-00: Βιομάζα για παραγωγή ενέργειας Γενικά ζητήματα εφοδιαστικών αλυσίδων Μια από τις κύριες διαφορές μεταξύ της βιομάζας και των ορυκτών καυσίμων είναι ότι η βιομάζα παραμένει

Διαβάστε περισσότερα

Κεφάλαιο Πέμπτο Εθνοπολιτισμική Ζωή και Εμπειρίες Ελληνικότητας των Ελληνοαυστραλών Εφήβων

Κεφάλαιο Πέμπτο Εθνοπολιτισμική Ζωή και Εμπειρίες Ελληνικότητας των Ελληνοαυστραλών Εφήβων Κεφάλαιο Πέμπτο Εθνοπολιτισμική Ζωή και Εμπειρίες Ελληνικότητας των Ελληνοαυστραλών Εφήβων Στο πλαίσιο του παρόντος κεφαλαίου εξετάζονται οι κοινές ενδοοικογενειακές δραστηριότητες και η γλωσσική αλληλεπίδραση

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Προχωρημένα Θέματα Σχεδιασμού με VHDL Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

Πρόγραμμα Σπουδών για το "Νέο Σχολείο"

Πρόγραμμα Σπουδών για το Νέο Σχολείο 2013 Πρόγραμμα Σπουδών για το "Νέο Σχολείο" πεδίο: Πολιτισμός - Αισθητική Παιδεία για την Υποχρεωτική Εκπαίδευση (αρχική πρόταση β') υπεύθυνος πεδίου: Μένης Θεοδωρίδης ΚΕΝΤΡΟ 0 ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΔΡΑΣΕΩΝ ΚΑΙ

Διαβάστε περισσότερα

Έρευνα Καταναλωτικής Εμπιστοσύνης

Έρευνα Καταναλωτικής Εμπιστοσύνης Έρευνα Καταναλωτικής Εμπιστοσύνης 1 ΤΥΠΟΣ ΕΡΕΥΝΑΣ97 ΠΕΡΙΟΧΗ ΕΡΕΥΝΑΣ ΠΟΣΟΤΙΚΗ ΕΡΕΥΝΑ ΜΕ ΤΗ ΧΡΗΣΗ ΔΟΜΗΜΕΝΟΥ ΕΡΩΤΗΜΑΤΟΛΟΓΙΟΥ ΠΕΡΙΟΔΟΣ ΕΡΕΥΝΑΣ 30/10/2012 4/1/2013 ΜΕΘΟΔΟΣ ΔΕΙΓΜΑΤΟΛΗΨΙΑΣ ΤΥΧΑΙΑ ΔΕΙΓΜΑΤΟΛΗΨΙΑ

Διαβάστε περισσότερα

Βασικά σημεία διάλεξης

Βασικά σημεία διάλεξης Διάλεξη 3 η Βασικές έννοιες και κατηγορίες κόστους Μέρος Β Δρ. Δημήτρης Μπάλιος_ 2 _Βασικές έννοιες και κατηγορίες κόστους Βασικά σημεία διάλεξης Σταθερό, μεταβλητό και μικτό κόστος. Άμεσο και έμμεσο κόστος.

Διαβάστε περισσότερα

3. Βιτσιλάκη Χ., Γουβιάς Δ. (2007). ΣΧΟΛΕΙΟ ΚΑΙ ΔΟΥΛΕΙΑ: Μία εμπειρική διερεύνηση της εφηβικής απασχόλησης. Αθήνα (εκδόσεις Gutenberg ).

3. Βιτσιλάκη Χ., Γουβιάς Δ. (2007). ΣΧΟΛΕΙΟ ΚΑΙ ΔΟΥΛΕΙΑ: Μία εμπειρική διερεύνηση της εφηβικής απασχόλησης. Αθήνα (εκδόσεις Gutenberg ). Βιβλία Μελέτες 2007-2010 1. Βιτσιλάκη, Χ. (2007). Κοινωνιολογική, Γνωστική και Εκπαιδευτική Θεμελίωση του Θεσμού του Ολοήμερου Σχολείου. Θεσσαλονίκη: Εκδόσεις Αδελφών Κυριακίδη. 2. Βιτσιλάκη, Χ., Γκασούκα,

Διαβάστε περισσότερα

Το Βαρόμετρο του Παρατηρητηρίου: Ποιότητα των Δημόσιων Υπηρεσιών προς Επιχειρήσεις

Το Βαρόμετρο του Παρατηρητηρίου: Ποιότητα των Δημόσιων Υπηρεσιών προς Επιχειρήσεις Παρατηρητήριο Επιχειρηματικού Περιβάλλοντος Το Βαρόμετρο του Παρατηρητηρίου: Ποιότητα των Δημόσιων Υπηρεσιών προς Επιχειρήσεις Δεκέμβριος 213 Με τη συγχρηματοδότηση της Ελλάδας και της Ευρωπαϊκής Ένωσης

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Συνδυαστικών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL (Very high

Διαβάστε περισσότερα

Θεματική Ενότητα: ΠΑΙΔΕΙΑ ΠΟΛΙΤΙΣΜΟΣ - ΑΘΛΗΤΙΣΜΟΣ

Θεματική Ενότητα: ΠΑΙΔΕΙΑ ΠΟΛΙΤΙΣΜΟΣ - ΑΘΛΗΤΙΣΜΟΣ Θεματική Ενότητα: ΠΑΙΔΕΙΑ ΠΟΛΙΤΙΣΜΟΣ - ΑΘΛΗΤΙΣΜΟΣ Συνοπτική Παρουσίαση Ερωτηματολογίου Επιτροπής ΠΑΙΔΕΙΑΣ ΘΡΗΣΚΕΥΜΑΤΩΝ & ΝΕΟΛΑΙΑΣ Γιώργος Ιωακειμίδης Δήμαρχος Νίκαιας Αγίου Ι. Ρέντη Πρόεδρος Επιτροπής

Διαβάστε περισσότερα

Χρηματοδότηση των Συλλόγων στην εποχή της κρίσης

Χρηματοδότηση των Συλλόγων στην εποχή της κρίσης Χρηματοδότηση των Συλλόγων στην εποχή της κρίσης Ελίνα Ρέπα Πρόεδρος Συλλόγου Γονέων και Κηδεμόνων Παιδιών με Χρόνιες Ρευματοπάθειες Μαρία Σταυρακίδου Φυσικοθεραπεύτρια Εξωτερική Συνεργάτης ΠΑΡΚΑ A Παιδιατρική

Διαβάστε περισσότερα

Δευτέρα, 9 Απριλίου 2012 ΙΝΣΤΙΤΟΥΤΟ ΕΜΠΟΡΙΟΥ & ΥΠΗΡΕΣΙΩΝ ΠΕΤΡΑΚΗ 16 Τ.Κ. 105 63 - ΑΘΗΝΑ ΤΗΛ.: 210.32.59.198 FAX: 210.32.59.229

Δευτέρα, 9 Απριλίου 2012 ΙΝΣΤΙΤΟΥΤΟ ΕΜΠΟΡΙΟΥ & ΥΠΗΡΕΣΙΩΝ ΠΕΤΡΑΚΗ 16 Τ.Κ. 105 63 - ΑΘΗΝΑ ΤΗΛ.: 210.32.59.198 FAX: 210.32.59.229 ΕΘΝΙΚΗ ΣΥΝΟΜΟΣΠΟΝΔΙΑ ΕΛΛΗΝΙΚΟΥ ΕΜΠΟΡΙΟΥ Δευτέρα, 9 Απριλίου ΙΝΣΤΙΤΟΥΤΟ ΕΜΠΟΡΙΟΥ & ΥΠΗΡΕΣΙΩΝ ΠΕΤΡΑΚΗ 16 Τ.Κ. 105 63 - ΑΘΗΝΑ ΤΗΛ.: 210.32.59.198 FAX: 210.32.59.229 ΘΕΜΑ: Έκδοση από τη Eurostat του Δείκτη

Διαβάστε περισσότερα

Δημοκρίτειο Πανεπιστήμιο

Δημοκρίτειο Πανεπιστήμιο 1 Δημοκρίτειο Πανεπιστήμιο Τμήμα Μοριακής Βιολογίας και Γενετικής ΣΗΜΕΙΩΣΕΙΣ για τις ανάγκες των μαθημάτων: ΠΑΙΔΑΓΩΓΙΚΉ και ΔΙΔΑΚΤΙΚΗ ΜΕΘΟΔΟΛΟΓΙΑ (Διδακτικός Σχεδιασμός) ΣΥΓΧΡΟΝΑ ΘΕΜΑΤΑ ΓΥΡΩ ΑΠΟ ΤΗΝ ΕΚΠΑΙΔΕΥΣΗ

Διαβάστε περισσότερα

ΤΙΜΟΛΟΓΙΟ ΠΡΟΣΦΟΡΑΣ ΕΡΓΑΣΙΩΝ ΑΠΟΤΙΜΩΜΕΝΩΝ ΜΕ ΚΑΤ ΑΠΟΚΟΠΗ ΤΙΜΗΜΑΤΑ

ΤΙΜΟΛΟΓΙΟ ΠΡΟΣΦΟΡΑΣ ΕΡΓΑΣΙΩΝ ΑΠΟΤΙΜΩΜΕΝΩΝ ΜΕ ΚΑΤ ΑΠΟΚΟΠΗ ΤΙΜΗΜΑΤΑ ΚΤΙΡΙΑΚΕΣ ΥΠΟΔΟΜΕΣ A.E. ΓΕΝΙΚΗ ΔΙΕΥΘΥΝΣΗ ΑΝΑΠΤΥΞΗΣ ΔΙΕΥΘΥΝΣΗ ΥΠΟΣΤΗΡΙΞΗΣ ΕΡΓΩΝ ΤΜΗΜΑ ΤΕΥΧΩΝ, ΔΙΑΓΩΝΙΣΜΩΝ & ΣΥΜΒΑΣΕΩΝ ΕΡΓΟ:1/θέσιο ολοήμερο Νηπιαγωγείο Πετριάς, Δήμου Σκύδρας, Νομού Πέλλας, με τη μέθοδο

Διαβάστε περισσότερα

Σεμινάριο με θέμα : Εθελοντισμός & Δικαιώματα Παιδιού

Σεμινάριο με θέμα : Εθελοντισμός & Δικαιώματα Παιδιού Τομέας Κοινωνικής Πρόνοιας Σεμινάριο με θέμα : Εθελοντισμός & Δικαιώματα Παιδιού «Καλές πρακτικές στην αποτελεσματική Διαχείριση του Εθελοντικού Δυναμικού» ΣΥΝήγορος Του Παιδιού 14-15 Ιουνίου 2012 Αθήνα

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Περίγραµµα διάλεξης Υποκυκλώµατα Περιγραφή δοµής στη VHDL Βιβλιοθήκες

Διαβάστε περισσότερα

ΕΝΗΜΕΡΩΤΙΚΟ ΈΓΓΡΑΦΟ Σ.Ε.Ε.Δ.Δ.Ε. ΟΙ ΕΠΙΒΑΡΥΝΣΕΙΣ ΤΟΥ ΝΕΟΥ ΦΟΡΟΛΟΓΙΚΟΥ

ΕΝΗΜΕΡΩΤΙΚΟ ΈΓΓΡΑΦΟ Σ.Ε.Ε.Δ.Δ.Ε. ΟΙ ΕΠΙΒΑΡΥΝΣΕΙΣ ΤΟΥ ΝΕΟΥ ΦΟΡΟΛΟΓΙΚΟΥ ΑΘΗΝΑ 15-01-2014 ΑΡ. ΠΡΩΤ.: 2270 ΕΝΗΜΕΡΩΤΙΚΟ ΈΓΓΡΑΦΟ Σ.Ε.Ε.Δ.Δ.Ε. ΟΙ ΕΠΙΒΑΡΥΝΣΕΙΣ ΤΟΥ ΝΕΟΥ ΦΟΡΟΛΟΓΙΚΟΥ Για τα εισοδήματα του 2013, τη φορολογία και τα πρόστιμα του 2014, ισχύουν τα εξής: Καταργείται το

Διαβάστε περισσότερα

ΔΕΛΤΙΟ ΤΥΠΟΥ. Ελλείψεις στο φορολογικό νομοσχέδιο. Σοβαρές ελλείψεις στη νέα μορφή του φορολογικού νομοσχεδίου

ΔΕΛΤΙΟ ΤΥΠΟΥ. Ελλείψεις στο φορολογικό νομοσχέδιο. Σοβαρές ελλείψεις στη νέα μορφή του φορολογικού νομοσχεδίου Επαγγελματικό Επιμελητήριο Θεσσαλονίκης Γραφείο Τύπου Θεσσαλονίκη, 12 Απριλίου 2010 ΔΕΛΤΙΟ ΤΥΠΟΥ Ελλείψεις στο φορολογικό νομοσχέδιο Σοβαρές ελλείψεις στη νέα μορφή του φορολογικού νομοσχεδίου διαπιστώνει

Διαβάστε περισσότερα

Συνεταιριστική Οικονομία

Συνεταιριστική Οικονομία Συνεταιριστική Οικονομία Ενότητα 10: Η Συνεταιριστική Ανάπτυξη Κοντογεώργος Αχιλλέας Σχολή Οργάνωσης και Διοίκησης Επιχειρήσεων Τμήμα Διοίκησης Επιχειρήσεων Αγροτικών Προϊόντων & Τροφίμων (Δ.Ε.Α.Π.Τ.)

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων VHDL για Σχεδιασμό Συνδυαστικών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών VHDL (Very high

Διαβάστε περισσότερα

Ασφάλεια στις εργασίες κοπής μετάλλων

Ασφάλεια στις εργασίες κοπής μετάλλων Μάθημα 2.1 Ασφάλεια στις εργασίες κοπής μετάλλων 1.1 Εργασίες κοπής με χρήση φλόγας 1.1.1 Φιάλες αερίων Τα μέτρα ασφάλειας, συνδέονται με τη φύση του κάθε αερίου. Υπάρχουν όμως και ορισμένοι γενικοί κανόνες

Διαβάστε περισσότερα

ΟΡΓΑΝΙΣΜΟΣ ΓΕΩΡΓΙΚΗΣ ΑΣΦΑΛΙΣΗΣ. Ετήσια Έκθεση για το έτος 2005 ΚΕΝΤΡΙΚΑ ΓΡΑΦΕΙΑ ΟΡΓΑΝΙΣΜΟΥ Ζ. ΧΡ. ΣΩΖΟΥ 29 & 31 ΛΕΥΚΩΣΙΑ ΚΥΠΡΟΣ

ΟΡΓΑΝΙΣΜΟΣ ΓΕΩΡΓΙΚΗΣ ΑΣΦΑΛΙΣΗΣ. Ετήσια Έκθεση για το έτος 2005 ΚΕΝΤΡΙΚΑ ΓΡΑΦΕΙΑ ΟΡΓΑΝΙΣΜΟΥ Ζ. ΧΡ. ΣΩΖΟΥ 29 & 31 ΛΕΥΚΩΣΙΑ ΚΥΠΡΟΣ ΟΡΓΑΝΙΣΜΟΣ ΓΕΩΡΓΙΚΗΣ ΑΣΦΑΛΙΣΗΣ Ετήσια Έκθεση για το έτος 2005 ΚΕΝΤΡΙΚΑ ΓΡΑΦΕΙΑ ΟΡΓΑΝΙΣΜΟΥ Ζ. ΧΡ. ΣΩΖΟΥ 29 & 31 ΛΕΥΚΩΣΙΑ ΚΥΠΡΟΣ Πρόεδρος Πανίκος Πούρος Εκπρόσωπος Υπουργού Γεωργίας, Φυσικών Πόρων και Περιβάλλοντος

Διαβάστε περισσότερα

Κωδ. 001-002: Οι κωδικοί αυτοί συμπληρώνονται από την φορολογική διοίκηση. Κωδ. 003: Γράψτε τη Δ.Ο.Υ. της έδρας ή του κεντρικού της επιχείρησής σας.

Κωδ. 001-002: Οι κωδικοί αυτοί συμπληρώνονται από την φορολογική διοίκηση. Κωδ. 003: Γράψτε τη Δ.Ο.Υ. της έδρας ή του κεντρικού της επιχείρησής σας. Ι. Γενικά Με την ΑΓΓΔΕ ΠΟΛ 1198/2014 όπως τροποποιήθηκε με την ΑΓΓΔΕ ΠΟΛ 1049/2015 ορίστηκε ο τύπος και το περιεχόμενο της δήλωσης Φ.Π.Α. Με την παρούσα παρέχονται διευκρινίσεις για την ορθή συμπλήρωση

Διαβάστε περισσότερα

ΕΘΝΙΚΗ ΣΥΝΟΜΟΣΠΟΝΔΙΑ ΕΛΛΗΝΙΚΟΥ ΕΜΠΟΡΙΟΥ ΔΕΛΤΙΟ ΤΥΠΟΥ

ΕΘΝΙΚΗ ΣΥΝΟΜΟΣΠΟΝΔΙΑ ΕΛΛΗΝΙΚΟΥ ΕΜΠΟΡΙΟΥ ΔΕΛΤΙΟ ΤΥΠΟΥ ΔΕΛΤΙΟ ΤΥΠΟΥ Αθήνα, 7 Αυγούστου 2014 ΥΠΟΜΝΗΜΑ ΘΕΣΕΩΝ ΚΑΙ ΠΡΟΤΑΣΕΩΝ ΤΗΣ ΕΣΕΕ ΓΙΑ ΤΗΝ ΑΝΑΜΟΡΦΩΣΗ ΤΟΥ ΦΟΡΟΛΟΓΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΚΑΙ ΕΛΑΦΡΥΝΣΗΣ ΤΩΝ ΜΙΚΡΟΜΕΣΑΙΩΝ Το φορολογικό σύστημα αποτελεί το βασικότερο εργαλείο

Διαβάστε περισσότερα

ΤΕΙ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΚΑΙ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗΣ

ΤΕΙ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΚΑΙ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗΣ ΤΕΙ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΚΑΙ ΧΡΗΜΑΤΟΟΙΚΟΝΟΜΙΚΗΣ ΘΕΜΑ: ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ H ΕΡΓΑΤΙΚΗ ΚΑΙ ΑΣΦΑΛΙΣΤΙΚΗ ΝΟΜΟΘΕΣΙΑ ΚΑΙ Η ΠΡΑΚΤΙΚΗ ΕΦΑΡΜΟΓΗ ΤΗΣ ΣΤΗ ΜΙΣΘΟΔΟΣΙΑ Υπό

Διαβάστε περισσότερα

Δρ.ΠΟΛΥΚΑΡΠΟΣ ΕΥΡΙΠΙΔΟΥ

Δρ.ΠΟΛΥΚΑΡΠΟΣ ΕΥΡΙΠΙΔΟΥ Δρ.ΠΟΛΥΚΑΡΠΟΣ ΕΥΡΙΠΙΔΟΥ Σκοπος μαθηματος: -ορισμος υγιεινης -αρχες υγιεινης -σκοποι υγιεινης -αποτελεσματα υγιεινης. Ορισμος της Υγιεινης: Υγιεινη είναι η επιστημη που ερευνα και μελετα τα Υγειολογικα

Διαβάστε περισσότερα

«Αναδιάρθρωση της καλλιέργειας του καπνού µε άλλες ανταγωνιστικές καλλιέργειες»

«Αναδιάρθρωση της καλλιέργειας του καπνού µε άλλες ανταγωνιστικές καλλιέργειες» «Αναδιάρθρωση της καλλιέργειας του καπνού µε άλλες ανταγωνιστικές καλλιέργειες» Έρευνα καταναλωτικών συνηθειών Νοµού Ξάνθης Υπεύθυνος έργου: Χρήστος Φωτόπουλος, Καθηγητής Πανεπιστηµίου Ιωαννίνων www.agribusiness.uoi.gr

Διαβάστε περισσότερα

ενεργοί πολίτες για τη Μήλο οι θέσεις μας Υποψηφιότητα Αντώνη Καβαλιέρου δημοτικές εκλογές 2010 www.gia-tin-milo.net

ενεργοί πολίτες για τη Μήλο οι θέσεις μας Υποψηφιότητα Αντώνη Καβαλιέρου δημοτικές εκλογές 2010 www.gia-tin-milo.net δημοτικές εκλογές 2010 ενεργοί πολίτες για τη Μήλο οι θέσεις μας Υποψηφιότητα Αντώνη Καβαλιέρου www.gia-tin-milo.net ενεργοί πολίτες για τη Μήλο www.gia-tin-milo.net info@gia-tin-milo.net akavalieros@gia-tin-milo.net

Διαβάστε περισσότερα

Όταν το μάθημα της πληροφορικής γίνεται ανθρωποκεντρικό μπορεί να αφορά και την εφηβεία.

Όταν το μάθημα της πληροφορικής γίνεται ανθρωποκεντρικό μπορεί να αφορά και την εφηβεία. Όταν το μάθημα της πληροφορικής γίνεται ανθρωποκεντρικό μπορεί να αφορά και την εφηβεία. Στόχος μας : να χρησιμοποιήσουμε τον υπολογιστή και το διαδίκτυο για να αντλήσουμε σωστές πληροφορίες, να τις επεξεργαστούμε

Διαβάστε περισσότερα

Τοποθέτηση Δημάρχου Γ. Πατούλη. για τεχνικό πρόγραμμα 2010

Τοποθέτηση Δημάρχου Γ. Πατούλη. για τεχνικό πρόγραμμα 2010 Τοποθέτηση Δημάρχου Γ. Πατούλη για τεχνικό πρόγραμμα 2010 Κυρίες και κύριοι συνάδελφοι Η διοίκηση του Δήμου φέρνει σήμερα προς ψήφιση στο Δημοτικό Συμβούλιο το τεχνικό πρόγραμμα του Δήμου Αμαρουσίου για

Διαβάστε περισσότερα

ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ

ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΤΕΙ ΗΠΕΙΡΟΥ ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ''ΜΕΛΕΤΗ ΓΙΑ ΤΗΝ ΙΚΑΝΟΠΟΙΗΣΗ ΤΩΝ ΦΟΙΤΗΤΩΝ ΤΟΥ ΤΜΗΜΑΤΟΣ ΛΟΓΙΣΤΙΚΗΣ ΤΟΥ Τ.Ε.Ι. ΗΠΕΙΡΟΥ ΑΠΟ ΤΙΣ ΣΠΟΥΔΕΣ ΤΟΥΣ'' ΓΕΩΡΓΙΟΣ ΝΤΑΛΑΟΥΤΗΣ

Διαβάστε περισσότερα

ΟΜΟΦΩΝΗ ΑΠΟΦΑΣΗ. -Συνημμένα 14 Ψηφίσματα: Ψήφισμα 1: Για τη Χρηματοδότηση των ΑΕΙ. Ψήφισμα 2: Θέματα Ανθρώπινου Δυναμικού

ΟΜΟΦΩΝΗ ΑΠΟΦΑΣΗ. -Συνημμένα 14 Ψηφίσματα: Ψήφισμα 1: Για τη Χρηματοδότηση των ΑΕΙ. Ψήφισμα 2: Θέματα Ανθρώπινου Δυναμικού ΟΜΟΦΩΝΗ ΑΠΟΦΑΣΗ Επτά μήνες από την έναρξη ισχύος του Ν. 4009/2011, η Σύνοδος των Πρυτάνεων διαπιστώνει ότι τα προβλήματα των Πανεπιστημίων έχουν οξυνθεί, ενώ αντίστοιχα, παρά τις πρόσφατες προσπάθειες,

Διαβάστε περισσότερα

Σοφία Γιουρούκου, Ψυχολόγος Συνθετική Ψυχοθεραπεύτρια

Σοφία Γιουρούκου, Ψυχολόγος Συνθετική Ψυχοθεραπεύτρια Σοφία Γιουρούκου, Ψυχολόγος Συνθετική Ψυχοθεραπεύτρια Η αντίδραση στο άγχος είναι μία φυσιολογική, ζωτική αντίδραση στην απειλή. Το άγχος είναι ένα συναίσθημα δυσθυμίας που προέρχεται από την υποκειμενική

Διαβάστε περισσότερα

Εισαγωγή στην Ψηφιακή Σχεδίαση

Εισαγωγή στην Ψηφιακή Σχεδίαση Εισαγωγή στην Ψηφιακή Σχεδίαση υαδικό σύστηµα Η εσωτερική λειτουργία ενός υπολογιστή, ή γενικότερα µιας συσκευής ψηφιακής τεχνολογίας, αποτελεί ένα "µυστήριο" για την µεγάλη πλειονότητα των χρηστών τέτοιων

Διαβάστε περισσότερα

ΗΛΙΟΠΡΟΣΤΑΣΙΑ ΑΥΤΟΣΚΙΑΣΜΟΣ ΤΟΥ ΚΤΗΡΙΑΚΟΥ ΚΕΛΥΦΟΥΣ

ΗΛΙΟΠΡΟΣΤΑΣΙΑ ΑΥΤΟΣΚΙΑΣΜΟΣ ΤΟΥ ΚΤΗΡΙΑΚΟΥ ΚΕΛΥΦΟΥΣ ΗΛΙΟΠΡΟΣΤΑΣΙΑ ΑΥΤΟΣΚΙΑΣΜΟΣ ΤΟΥ ΚΤΗΡΙΑΚΟΥ ΚΕΛΥΦΟΥΣ ΗΛΙΟΠΡΟΣΤΑΣΙΑ ΑΝΑΚΛΑΣΤΙΚΟΤΗΤΑ ΕΠΙΦΑΝΕΙΩΝ ΗΛΙΟΠΡΟΣΤΑΣΙΑ ΣΚΙΑΣΗ ΤΩΝ ΑΝΟΙΓΜΑΤΩΝ ΗΛΙΟΠΡΟΣΤΑΣΙΑ ΣΚΙΑΣΗ ΤΩΝ ΑΝΟΙΓΜΑΤΩΝ ΗΛΙΟΠΡΟΣΤΑΣΙΑ ΣΚΙΑΣΗ ΤΩΝ ΑΝΟΙΓΜΑΤΩΝ ΗΛΙΟΠΡΟΣΤΑΣΙΑ

Διαβάστε περισσότερα

Πρακτικό 6/2012 της συνεδρίασης της Επιτροπής Ποιότητας Ζωής, του Δήμου Λήμνου, της 4ης Μαΐου 2012.

Πρακτικό 6/2012 της συνεδρίασης της Επιτροπής Ποιότητας Ζωής, του Δήμου Λήμνου, της 4ης Μαΐου 2012. Πρακτικό 6/2012 της συνεδρίασης της Επιτροπής Ποιότητας Ζωής, του Δήμου Λήμνου, της 4ης Μαΐου 2012. Στη Μύρινα, σήμερα στις 4 του μήνα Μαΐου του έτους 2012, ημέρα Παρασκευή και ώρα 12:00 στο Δημοτικό Κατάστημα

Διαβάστε περισσότερα

ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ ΕΛΛΗΝΙΚΗ ΗΜΟΚΡΑΤΙΑ ΥΠΟΥΡΓΕΙΟ ΠΑΙ ΕΙΑΣ ΚΑΙ ΘΡΗΣΚΕΥΜΑΤΩΝ «ΥΓΡΟΜΟΝΩΣΕΙΣ ΕΡΓΟ:

ΤΙΜΟΛΟΓΙΟ ΜΕΛΕΤΗΣ ΕΛΛΗΝΙΚΗ ΗΜΟΚΡΑΤΙΑ ΥΠΟΥΡΓΕΙΟ ΠΑΙ ΕΙΑΣ ΚΑΙ ΘΡΗΣΚΕΥΜΑΤΩΝ «ΥΓΡΟΜΟΝΩΣΕΙΣ ΕΡΓΟ: ΕΛΛΗΝΙΚΗ ΗΜΟΚΡΑΤΙΑ ΥΠΟΥΡΓΕΙΟ ΠΑΙ ΕΙΑΣ ΚΑΙ ΘΡΗΣΚΕΥΜΑΤΩΝ ΑΛΕΞΑΝ ΡΕΙΟ ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΘΕΣΣΑΛΟΝΙΚΗΣ ΙΕΥΘΥΝΣΗ ΤΕΧΝΙΚΩΝ ΥΠΗΡΕΣΙΩΝ ΤΜΗΜΑ ΜΕΛΕΤΩΝ KAI ΚΑΤΑΣΚΕΥΩΝ ΕΡΓΟ: «ΥΓΡΟΜΟΝΩΣΕΙΣ ΩΜΑΤΩΝ, ΒΑΦΕΣ

Διαβάστε περισσότερα

Structural VHDL. Structural VHDL

Structural VHDL. Structural VHDL Structural VHDL Παράδειγμα Multiplexer Component Component specification Port map command Unconnected outputs Unconnected inputs Generic map command Generate command Configuration Παράδειγμα 4-bit Adder

Διαβάστε περισσότερα

ευτέρα 14 Μαρτίου, ώρα 20.00 στο «Πνευματικό Κέντρο Διονύσιος ο Αρεοπαγίτης», Μιχαήλ Βόδα 28, διάλεξαν να μαζευτούν οι φίλοι και συνεργάτες τού

ευτέρα 14 Μαρτίου, ώρα 20.00 στο «Πνευματικό Κέντρο Διονύσιος ο Αρεοπαγίτης», Μιχαήλ Βόδα 28, διάλεξαν να μαζευτούν οι φίλοι και συνεργάτες τού Δ ευτέρα 14 Μαρτίου, ώρα 20.00 στο «Πνευματικό Κέντρο Διονύσιος ο Αρεοπαγίτης», Μιχαήλ Βόδα 28, διάλεξαν να μαζευτούν οι φίλοι και συνεργάτες τού εκδότη και ποιητή Μάκη Αποστολάτου, για μια εκδήλωση μνήμης.

Διαβάστε περισσότερα

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL) Ακολουθιακές εντολές (Peter Ashenden, The Students Guide to VHDL) Εντολή If Τα βασικά χαρακτηριστικά της είναι τα εξής: Μπορεί να χρησιµοποιηθεί για τον έλεγχο µίας ή περισσοτέρων συνθηκών. Η πρώτη συνθήκη

Διαβάστε περισσότερα

Παιδαγωγική ή Εκπαίδευση ΙΙ

Παιδαγωγική ή Εκπαίδευση ΙΙ Παιδαγωγική ή Εκπαίδευση ΙΙ Ενότητα 8: Ζαχαρούλα Σμυρναίου Σχολή: Φιλοσοφική Τμήμα: Φιλοσοφίας Παιδαγωγικής Ψυχολογίας Κατάθλιψη Κατάθλιψη «Η κατάθλιψη είναι σαν ένα πένθος για κάτι αγαπημένο που έχει

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΗΛΕΚΤΡΟΝΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΑΙΤΗΣΕΩΝ ΜΕΤΑΤΑΞΕΩΝ ΕΚΠΑΙΔΕΥΤΙΚΩΝ. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Υπουργείο Παιδείας και Θρησκευμάτων

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΗΛΕΚΤΡΟΝΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΑΙΤΗΣΕΩΝ ΜΕΤΑΤΑΞΕΩΝ ΕΚΠΑΙΔΕΥΤΙΚΩΝ. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Υπουργείο Παιδείας και Θρησκευμάτων Διεύθυνση Λειτουργικής Ανάπτυξης Πληροφοριακών Συστημάτων ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΗΛΕΚΤΡΟΝΙΚΟΥ ΣΥΣΤΗΜΑΤΟΣ ΑΙΤΗΣΕΩΝ ΜΕΤΑΤΑΞΕΩΝ ΕΚΠΑΙΔΕΥΤΙΚΩΝ Έκδοση 1.0 Αύγουστος 2013 Υπουργείο Παιδείας και Θρησκευμάτων ΠΙΝΑΚΑΣ

Διαβάστε περισσότερα

ΙΝΣΤΙΤΟΥΤΟ ΠΑΙΔΑΓΩΓΙΚΩΝ ΕΡΕΥΝΩΝ ΜΕΛΕΤΩΝ ΔΙΔΑΣΚΑΛΙΚΗΣ ΟΜΟΣΠΟΝΔΙΑΣ ΕΛΛΑΔΑΣ

ΙΝΣΤΙΤΟΥΤΟ ΠΑΙΔΑΓΩΓΙΚΩΝ ΕΡΕΥΝΩΝ ΜΕΛΕΤΩΝ ΔΙΔΑΣΚΑΛΙΚΗΣ ΟΜΟΣΠΟΝΔΙΑΣ ΕΛΛΑΔΑΣ 2/10 ΙΝΣΤΙΤΟΥΤΟ ΠΑΙΔΑΓΩΓΙΚΩΝ ΕΡΕΥΝΩΝ ΜΕΛΕΤΩΝ ΔΙΔΑΣΚΑΛΙΚΗΣ ΟΜΟΣΠΟΝΔΙΑΣ ΕΛΛΑΔΑΣ ΚEΝΤΡΟ ΜΕΛΕΤΩΝ & ΤΕΚΜΗΡΙΩΣΗΣ ΟΛΜΕ Αγαπητή/αγαπητέ Συνάδελφε, Το ΚΟΙΝΩΝΙΚΟ ΠΟΛΥΚΕΝΤΡΟ, Ινστιτούτο της ΑΔΕΔΥ, με τη συνεργασία

Διαβάστε περισσότερα

ΟΣΤΑ & ΣΚΕΛΕΤΙΚΟ ΣΥΣΤΗΜΑ

ΟΣΤΑ & ΣΚΕΛΕΤΙΚΟ ΣΥΣΤΗΜΑ ΟΣΤΑ & ΣΚΕΛΕΤΙΚΟ ΣΥΣΤΗΜΑ Τα οστά είναι μία στερεά μορφή του συνδετικού ιστού. Σχηματίζουν το μεγαλύτερο μέρος του σκελετού ( 205 οστά) και είναι ο κύριος στηρικτικός ιστός του σώματος. ΣΚΕΛΕΤΙΚΟ ΣΥΣΤΗΜΑ

Διαβάστε περισσότερα

Περιεχόμενα !"#$%&%'(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((( )!

Περιεχόμενα !#$%&%'(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((( )! Περιεχόμενα!"#$%&%'(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((( )! *+",',"-+.-"/'0$.-$".1$/!1"#,'0"+(((((((((((((((((((((((23! 14+,$%0$ ((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((()5!

Διαβάστε περισσότερα

ΤΕΥΧΟΣ ΠΡΟΚΗΡΥΞΗΣ αριθμ. 15912/605/16-07-2009 ΔΗΜΟΣΙΟΥ ΑΝΟΙΚΤΟΥ ΔΙΑΓΩΝΙΣΜΟΥ ΓΙΑ ΤΗΝ ΑΝΑΚΗΡΥΞΗ ΑΝΑΔΟΧΟΥ ΓΙΑ ΤΗΝ ΥΛΟΠΟΙΗΣΗ ΤΟΥ ΕΡΓΟΥ

ΤΕΥΧΟΣ ΠΡΟΚΗΡΥΞΗΣ αριθμ. 15912/605/16-07-2009 ΔΗΜΟΣΙΟΥ ΑΝΟΙΚΤΟΥ ΔΙΑΓΩΝΙΣΜΟΥ ΓΙΑ ΤΗΝ ΑΝΑΚΗΡΥΞΗ ΑΝΑΔΟΧΟΥ ΓΙΑ ΤΗΝ ΥΛΟΠΟΙΗΣΗ ΤΟΥ ΕΡΓΟΥ ΕΘΝΙΚΟ ΣΤΡΑΤΗΓΙΚΟ ΠΛΑΙΣΙΟ ΑΝΑΦΟΡΑΣ (2007-2013) ΕΠΙΧΕΙΡΗΣΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΑΝΤΑΓΩΝΙΣΤΙΚΟΤΗΤΑ-ΕΠΙΧΕΙΡΗΜΑΤΙΚΟΤΗΤΑ αριθμ. 15912/605/16-07-2009 ΔΗΜΟΣΙΟΥ ΑΝΟΙΚΤΟΥ ΔΙΑΓΩΝΙΣΜΟΥ ΓΙΑ ΤΗΝ ΑΝΑΚΗΡΥΞΗ ΑΝΑΔΟΧΟΥ ΓΙΑ ΤΗΝ

Διαβάστε περισσότερα

ΔΕΛΤΙΟ ΤΥΠΟΥ. Ακολουθεί ολόκληρη η τοποθέτηση - παρέμβαση του Υπουργού Δ.Μ.&Η.Δ.

ΔΕΛΤΙΟ ΤΥΠΟΥ. Ακολουθεί ολόκληρη η τοποθέτηση - παρέμβαση του Υπουργού Δ.Μ.&Η.Δ. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΥΠΟΥΡΓΕΙΟ ΔΙΟΙΚΗΤΙΚΗΣ ΜΕΤΑΡΡΥΘΜΙΣΗΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΔΙΑΚΥΒΕΡΝΗΣΗΣ ΓΡΑΦΕΙΟ ΤΥΠΟΥ Αθήνα, 18 Ιουνίου 2013 ΔΕΛΤΙΟ ΤΥΠΟΥ Ο Υπουργός Διοικητικής Μεταρρύθμισης και Ηλεκτρονικής Διακυβέρνησης

Διαβάστε περισσότερα

ΕΥΡΥΖΩΝΙΚΟ ΔΙΑΔΙΚΤΥΟ ΚΑΙ

ΕΥΡΥΖΩΝΙΚΟ ΔΙΑΔΙΚΤΥΟ ΚΑΙ ΕΥΡΥΖΩΝΙΚΟ ΔΙΑΔΙΚΤΥΟ ΚΑΙ ΚΟΙΝΩΝΙΑ ΤΩΝ ΠΟΛΙΤΩΝ Συνέδριο ΕΕΤΤ Συνέδριο ΕΕΤΤ «Η ΕΛΛΗΝΙΚΗ ΠΡΑΓΜΑΤΙΚΟΤΗΤΑ ΤΟΥ ΕΥΡΥΖΩΝΙΚΟΥ ΔΙΑΔΙΚΤΥΟΥ» «Η ΕΛΛΗΝΙΚΗ ΠΡΑΓΜΑΤΙΚΟΤΗΤΑ ΤΟΥ ΕΥΡΥΖΩΝΙΚΟΥ ΔΙΑΔΙΚΤΥΟΥ» ΕΙΣΗΓΗΤΡΙΑ 01-03/06/2007

Διαβάστε περισσότερα

ΑΠΑΝΤΗΣΕΙΣ ΔΙΑΓΩΝΙΣΜΑΤΟΣ ΔΙΔΑΓΜΕΝΟ ΚΕΙΜΕΝΟ

ΑΠΑΝΤΗΣΕΙΣ ΔΙΑΓΩΝΙΣΜΑΤΟΣ ΔΙΔΑΓΜΕΝΟ ΚΕΙΜΕΝΟ ΑΠΑΝΤΗΣΕΙΣ ΔΙΑΓΩΝΙΣΜΑΤΟΣ Σελίδα 5 από 9 ΔΙΔΑΓΜΕΝΟ ΚΕΙΜΕΝΟ Α. Α. Από το κείμενο που σας δίνεται να μεταφράσετε το απόσπασμα: «περὶ δὲ τῶν κοινῶν εἰς τοιούτους ἀγῶνας καθεστηκότας». Σε ό,τι αφορά όμως το

Διαβάστε περισσότερα

ΤΟ ΝΟΣΟΚΟΜΕΙΟ ΧΡΕΙΑΖΕΤΑΙ ΤΗΝ ΣΤΗΡΙΞΗ ΟΛΩΝ ΜΑΣ

ΤΟ ΝΟΣΟΚΟΜΕΙΟ ΧΡΕΙΑΖΕΤΑΙ ΤΗΝ ΣΤΗΡΙΞΗ ΟΛΩΝ ΜΑΣ ΤΟ ΝΟΣΟΚΟΜΕΙΟ ΧΡΕΙΑΖΕΤΑΙ ΤΗΝ ΣΤΗΡΙΞΗ ΟΛΩΝ ΜΑΣ ΣΥΝΤΟΜΟ ΙΣΤΟΡΙΚΟ: Το Νοσοκομείο Σητείας ως Δημόσιο Γενικό Νοσοκομείο ιδρύθηκε με Β. Δ/γμα στις 09-04-1947. Στεγάστηκε εκεί όπου λειτουργούσε πριν λίγα χρόνια

Διαβάστε περισσότερα

ΕΛΤΙΟ Ε ΟΜΕΝΩΝ ΑΣΦΑΛΕΙΑΣ

ΕΛΤΙΟ Ε ΟΜΕΝΩΝ ΑΣΦΑΛΕΙΑΣ ΕΛΤΙΟ Ε ΟΜΕΝΩΝ ΑΣΦΑΛΕΙΑΣ ΟΝΟΜΑΣΙΑ ΥΛΙΚΟΥ: ΜΕΘΥΛ-ΑΙΘΥΛ-ΚΕΤΟΝΗ/ ΜΕΚ 1. ΠΡΟΣ ΙΟΡΙΣΜΟΣ ΤΩΝ ΚΙΝ ΥΝΩΝ Κίνδυνοι για την : ανθρώπινη υγεία Ενδείξεις και : συµπτώµατα Επιδείνωση : προϋπάρχουσας ασθένειας Κίνδυνοι

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΑΚΟ ΕΝΤΥΠΟ ΑΡ.20. Φορολογική μεταρρύθμιση Κύπρου Ιούλιος 2002 Αύγουστος 2006. Γενικά

ΠΛΗΡΟΦΟΡΙΑΚΟ ΕΝΤΥΠΟ ΑΡ.20. Φορολογική μεταρρύθμιση Κύπρου Ιούλιος 2002 Αύγουστος 2006. Γενικά ΠΛΗΡΟΦΟΡΙΑΚΟ ΕΝΤΥΠΟ ΑΡ.20 Φορολογική μεταρρύθμιση Κύπρου Ιούλιος 2002 Αύγουστος 2006 Γενικά Η φορολογική μεταρρύθμιση του 2002 είναι η σημαντικότερη και η πιο ουσιαστική που έγινε από την εγκαθίδρυση της

Διαβάστε περισσότερα

Τα Αναβολικά. Τα αναβολικά χωρίζονται στα φυσικά και στα συνθετικά.

Τα Αναβολικά. Τα αναβολικά χωρίζονται στα φυσικά και στα συνθετικά. Τμήμα:Α 3 Ημερομηνία:12.01.2015 Ονοματεπώνυμο:Αντιγόνη Τ. Εργασία Βιολογίας Θέμα:Αναβολικά Τα Αναβολικά Περιλαμβάνουν όλες τις ουσίες που μοιάζουν χημικά με την ανδρική ορμόνη τεστοστερόνη και εμφανίζουν

Διαβάστε περισσότερα