Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών. Συστήματα VLSI. Πρόοδος Άνοιξη 2018

Σχετικά έγγραφα
Συστήματα VLSI Παραδείγματα ασκήσεων

Επιβεβαίωση ορθής λειτουργίας απλών ψηφιακών κυκλωμάτων

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

Ολοκληρωμένα Κυκλώματα

Xρονισμός ψηφιακών κυκλωμάτων

Οδηγίες εγκατάστασης και χρήσης του Quartus

ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης

Μετρητής Ριπής ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ. Αναφορά 9 ης. εργαστηριακής άσκησης: ΑΦΡΟΔΙΤΗ ΤΟΥΦΑ Α.Μ.:

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

Εργαστήριο Ψηφιακών Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI

Κυκλώματα αποθήκευσης με ρολόι

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out

7 η διάλεξη Ακολουθιακά Κυκλώματα

ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

8.1 Θεωρητική εισαγωγή

Σχεδίαση υψηλών επιδόσεων pipelining παράλληλη επεξεργασία

Χρονισμός και Απόδοση Υπολογιστικών Συστημάτων

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

Επεξεργαστής Υλοποίηση ενός κύκλου μηχανής

Ολοκληρωμένα κυκλώματα 1 ο σετ ασκήσεων

Σχεδιασμός Ψηφιακών Συστημάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

Βασικές CMOS Λογικές οικογένειες (CMOS και Domino)

Χρονισμός ψηφιακών κυκλωμάτων

Σχεδίαση κυκλωμάτων με SystemVerilog: 2o μέρος

ΑΣΚΗΣΗ 6 ΠΟΛΥΠΛΕΚΤΕΣ (MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMUX)

ΕΠΙΚΟΙΝΩΝΙΕΣ ΔΕΔΟΜΕΝΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΕΣ INTERNET

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Γλώσσα περιγραφής υλικού: Verilog

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Χ. Σωτηρίου. Σχήμα 1: Προτεινόμενο Πρόγραμμα Επαλήθευσης του ολοκληρωμένου Επεξεργαστή

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Αρχιτεκτονική υπολογιστών

ΠΛΕ- 027 Μικροεπεξεργαστές 5ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση ενός κύκλου

7. ΤΕΛΕΣΤΙΚΟΣ ΕΝΙΣΧΥΤΗΣ

HY220 Pipelines and FSMs Χειμεριν Χειμερι ό Εξ άμη Εξ ν άμη ο

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

CLK CLK D Q D Q. Όταν η είσοδος αλλάζει μέσα D στο παράθυρο δειγματοληψίας Q η έξοδος μπορεί να γίνει

Συστήματα Μικροϋπολογιστών

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

Συστήματα VLSI. Εισαγωγή. Γιώργος Δημητρακόπουλος. Δημοκρίτειο Πανεπιστήμιο Θράκης. Άνοιξη 2014

2 η Σειρά Ασκήσεων Data Link Layer

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

Ολοκληρωμένα Κυκλώματα - Φθινόπωρο 2014 Γ. Δημητρακόπουλος. Εργαστηριακή άσκηση 2

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

HY333 Εργαστηριακή Σχεδίαση Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαστικού Αυτοματισμού.

Σωστή απάντηση το: Γ. Απάντηση

Παράδειγμα αντιστοίχισης κυκλώματος σε FPGA

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

2η ΑΣΚΗΣΗ ΣΤΗΝ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Ακ. έτος , 5ο Εξάμηνο Σχολή ΗΜ&ΜΥ

Δεύτερη Σειρά Ασκήσεων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Επεξεργαστής Υλοποίηση ενός κύκλου μηχανής

ΠΛΕ- 027 Μικροεπεξεργαστές 6ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση με διοχέτευση

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

Κεντρική Μονάδα Επεξεργασίας

Σχεδίαση Ψηφιακών Συστημάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Στο σχήμα 3.1 δίνεται μια μονάδα επεξεργασίας δεδομένων σταθερής υποδιαστολής που εκτελεί οποιαδήποτε από τις κάτωθι εντολές σε ένα κύκλο ρολογιού.

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

Περιεχόμενα. Κεφάλαιο 1 Εισαγωγή σε Έννοιες των Δικτύων Υπολογιστών Κεφάλαιο 2 Αξιοπιστία Κεφάλαιο 3 Αλγόριθμοι Πολλαπλής Πρόσβασης...

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

Τρίτη Σειρά Ασκήσεων ΑΣΚΗΣΗ 1 ΑΣΚΗΣΗ 1 ΛΥΣΗ ΑΣΚΗΣΗ 2

VERILOG. Γενικά περί γλώσσας

Δίκτυα ΙΙ. Κεφάλαιο 7

Ψηφιακή Σχεδίαση Ενότητα 10:

HY130 Ψηφιακή Σχεδίαση

Κεφάλαιο 5. Λογικά κυκλώματα

Πρόγραμμα Μεταπτυχιακών Σπουδών «Πληροφορική και Εφαρμογές»

ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΗΛΕΚΤΡΟΝΙΚΑ ΙΙ» ΗΜΕΡΟΜΗΝΙΑ: 23/06/2016 ΜΟΝΟ ΓΙΑ ΤΟΥΣ ΕΠΙ ΠΤΥΧΙΩ ΦΟΙΤΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Δείγμα Τελικής Εξέτασης στο ΗΜΥ213. Διδάσκοντας: Γιώργος Ζάγγουλος

Καθυστέρηση στατικών πυλών CMOS

ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής

Εισαγωγή στα κυκλώµατα CMOS 2

Ψηφιακά Κυκλώματα (2 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Transcript:

Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Γ. Δημητρακόπουλος Συστήματα VLSI Πρόοδος Άνοιξη 2018 Άσκηση 1 Όλο το κύκλωμα τροφοδοτείται με το ίδιο ρολόι και το skew μεταξύ8 των καταχωρητών είναι αμελητέο. Οι καθυστερήσεις που σχετίζονται με τους καταχωρητές του κυκλώματος είναι και αυτές αμελητέες. Η καθυστέρηση των μονάδων συνδυαστικής λογικής που αναγράφονται μέσα στους κύκλους αναφέρονται στην καθυστέρηση διάδοσης και μετριούνται σε nanosecond. α) Ποια είναι η ελάχιστη περίοδος του ρολογιού8 με την οποία περιμένετε το κύκλωμα σας να λειτουργεί8 σωστά8 αν η είσοδος Χ ξεκινά και αυτή από ένα καταχωρητή; β) Αν επιβάλλουμε στο ρολόι την περίοδο που υπολογίσατε στο ερώτημα (α) και αφαιρέσουμε τους καταχωρητές F και G, τι θα συμβεί8 στο κύκλωμα; Θα συνεχίσει να λειτουργεί8 σωστά8; Αν όχι, τι αλλαγές θα προτείνατε; γ) Αποφασίζετε να επανασχεδιάσετε το αρχικό8 σας κύκλωμα ώστε να μειώσετε όσο το δυνατόν περισσότερο το πλήθος των καταχωρητών διατηρώντας την περίοδο ρολογιού του ερωτήματος (α). Σε ποια λύση θα καταλήγατε; Τον καταχωρητή8 Η που εμφανίζεται στην έξοδο του κυκλώματος δεν επιτρέπεται να τον αφαιρέσετε. Απάντηση 1 α) Για τον προσδιορισμό της ελάχιστης περιόδου πρέπει να βρούμε την μέγιστη καθυστέρηση απ όλα τα μονοπάτια του κυκλώματος. Επειδή η μέγιστη καθυστέρηση είναι 8ps (μονοπάτι Α -> C), η ελάχιστη περίοδος είναι 8ps. β) Μετά την αφαίρεση των καταχωρητών F και G, το κύκλωμα μοιάζει ως εξής. 1

Για να συνεχίσει να λειτουργεί σωστά το κύκλωμα πρέπει να τηρείται ο setup περιορισμός και επίσης να μην χαλάει ο χρονισμός των σημάτων. Τα νέα μονοπάτια που δημιουργήθηκαν C->H, D->H και E->H έχουν καθυστέρηση 6ps, 6ps και 7ps αντίστοιχα, κι επειδή δεν υπερβαίνουν την περίοδο (T=8ps) το κύκλωμα δεν παραβιάζει το setup περιορισμό. Επίσης με την αφαίρεση των F,G καταχωρητών δεν επηρεάζεται ο χρονισμός των σημάτων, οπότε το κύκλωμα λειτουργεί σωστά. γ) Εφόσον η περίοδος είναι T=8ps, σε κάθε μονοπάτι η καθυστέρηση δεν θα πρέπει να είναι μεγαλύτερη από 8ps. Όπως είδαμε για να περιορίσουμε το πλήθος των καταχωρητών μπορούμε να αφαιρέσουμε τους καταχωρητές F και G. Ένα άλλο βήμα βελτιστοποίησης είναι η αντικατάσταση των καταχωρητών D,E με έναν μόνο καταχωρητή (έστω D) και την τοποθέτησή του πριν από τον κόμβο Κ2. Ομοίως αντικαθιστούμε τους καταχωρητές A και Β, με έναν μόνο καταχωρητή (έστω Β) πριν από τον κόμβο Κ1. Αρχικό κύκλωμα με 8 καταχωρητές Τελικό ισοδύναμο κύκλωμα με 4 καταχωρητές Άσκηση 2 Δώστε τις περιγραφές σε SystemVerilog που αντιστοιχούν σε κάθε ένα από τα κυκλώματα που σας δίνονται. Δε χρειάζεται να δηλώσετε εισόδους και εξόδους παρά μόνο τα καλώδια που χρησιμοποιείτε στην περιγραφή σας. α) β) 2

γ) Απάντηση 2 a) always_comb begin if(reset) NEXT_PC = 0; else if(branch) NEXT_PC = OFFSET + PC; else NEXT_PC = PC + 1; b) assign Z = A ^ B; c) always_ff @(posedge clk) begin X[1] <= X[8] ^ DATA; X[4:2] <= X[3:1]; X[5] <= X[4] ^ (X[8] ^ DATA); X[6] <= X[5] ^ (X[8] ^ DATA); X[8:7] <= X[7:6]; Άσκηση 3 H περιγραφή σε SystemVerilog που ακολουθεί εντοπίζει την ελάχιστη τιμή που έχει περάσει από την είσοδο Α και την εμφανίζει στην έξοδο minval. Επίσης, μετρά τις φορές που πέρασε από την είσοδο Α η ελάχιστη τιμή και εμφανίζει το πλήθος στην έξοδο mincount. Για παράδειγμα αν στην είσοδο Α εμφανιστούν οι τιμές 57, 85, 23, 34, 36, 23, 46, 23 τότε οι τελικές τιμές στις δύο εξόδους θα έιναι 23 και 3 αντίστοιχα.. module minvalcount ( input logic clk, input logic rst, input logic [7:0] A, output logic [7:0] minval, output logic [7:0] mincount); logic [7:0] val; logic [7:0] count; always_ff @(posedge clk) 3

begin if (rst) begin val <= 255; count <= 0; else if (A < val) begin val <= A; count <= 1; else if (A == val) count <= count + 1; assign minval = val; assign mincount = count; module; Συμπληρώστε το παρακάτω ημιτελές διάγραμμα ώστε να αντιστοιχεί ακριβώς στην παραπάνω περιγραφή σε SystemVerilog. Απάντηση 3 4

Άσκηση 4 Μία διεπαφή χειραψίας ready/valid μεταξύ ενός αποστολέα και ενός παραλήπτη επιτρέπει τη μεταφορά των δεδομένων στη θετική ακμή του ρολογιού όταν valid=ready=1, δηλώνοντας ότι και ο αποστολέας έχει έγκυρα δεδομένα προς μετάδοση και ο παραλήπτης είναι έτοιμος να τα λάβει. Στην άσκηση αυτή θέλουμε να παρεμβάλουμε ένα κύκλωμα μεταξύ του αποστολέα και του παραλήπτη το οποίο θα σέβεται τους κανόνες της χειραψίας και στις δύο πλευρές και θα υλοποιεί την κάθε μία από τις δύο παρακάτω λειτουργίες. Α) Λειτουργία hold: Όταν το σήμα hold = 1 τότε η επικοινωνία μεταξύ του αποστολέα και του παραλήπτη θα παγώνει ενώ όταν hold = 0 η μετάδοση θα πραγματοποιείται κανονικά. Με άλλα λόγια όταν hold=1 ανεξάρτητα αν ο αποστολέας και ο παραλήπτης είναι έτοιμοι θα στείλουν/λάβουν καινούρια δεδομένα αυτό δε θα συμβαίνει. Τα δεδομένα που δεν έχουν μεταδοθεί δεν πρέπει να χάνονται από τον αποστολέα. 5

Β) Λειτουργία flush: Όταν flush=1 το κανάλι θα αδειάζει από δεδομένα. Στην περίπτωση αυτή θέλουμε ότι δεδομένο προσπαθεί να στείλει ο αποστολέας να χάνεται και να μη φτάνει ποτέ στον παραλήπτη. Απάντηση 4 Στον παρακάτω πίνακα εμφανίζονται τα κυκλώματα που υλοποιούν α) μία HOLD διεπαφή είτε β) μία FLUSH διεπαφή. α) Διεπαφή HOLD β) Διεπαφή FLUSH Το τελικό κύκλωμα που υλοποιεί ταυτόχρονα τις δύο λειτουργίες HOLD και FLUSH φαίνονται στο παρακάτω σχήμα, στο οποίο αναλόγως το σήμα που θα είναι ίσο με 1 θα εκτελέσει την αντίστοιχη λειτουργία, με προτεραιότητα στο FLUSH. Διεπαφή HOLD+FLUSH 6