HY333 Εργαστηριακή Σχεδίαση Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαστικού Αυτοματισμού.

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "HY333 Εργαστηριακή Σχεδίαση Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαστικού Αυτοματισμού."

Transcript

1 HY333 Εργαστηριακή Σχεδίαση Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαστικού Αυτοματισμού Διδάσκων: Χ. Σωτηρίου 1 Περιεχόμενα Καθυστέρηση και παράγοντες που την επηρεάζουν Συνδυαστική Πύλη Ακολουθιακή Πύλη Περιορισμοί Πρόθεσης (Setup), Διατήρησης (Hold) Γενικό Μοντέλο Σύγχρονου Κυκλώματος Είδη Μονοπατιών Στατική Χρονική Ανάλυση Βάση Περιορισμών Παραδείγματα Στατικής Χρονικής Ανάλυσης Χρονικοί Περιορισμοί στο Ρολόι Σχεδίαση Δέντρων Φραγή Ρολογιού (Clock Gating) 2 1

2 Περιεχόμενα Καθυστέρηση και παράγοντες που την επηρεάζουν Συνδυαστική Πύλη Ακολουθιακή Πύλη Περιορισμοί Πρόθεσης (Setup), Διατήρησης (Hold) Γενικό Μοντέλο Σύγχρονου Κυκλώματος Είδη Μονοπατιών Στατική Χρονική Ανάλυση Βάση Περιορισμών Παραδείγματα Στατικής Χρονικής Ανάλυσης Χρονικοί Περιορισμοί στο Ρολόι Σχεδίαση Δέντρων Φραγή Ρολογιού (Clock Gating) 3 Καθυστέρηση και Παράγοντες που την επηρεάζουν Καθυστέρηση Συνδυαστικής Πύλης Καθυστέρηση Ακολουθιακής Πύλης input output t D clk Q setup time clock to Q delay 4 Παράγοντες: Γενικά: Καθυστέρηση Χρόνος ανόδου/καθόδου Δ = fd(c out, t r/f(input) ) t r/f(output) = fr(c out, t r/f(input) ) Ομοίως με τα συνδυαστικά με επιπλέον περιορισμούς: Πρόθεσης (Setup) Διατήρησης (Hold) Ο πρώτος ορίζει την μέγιστη συχνότητα ενώ ο δεύτερος επιβάλλει ελάχιστη καθυστέρηση μεταξύ καταχωρητών 2

3 Τύποι Ακμών στην Στατική Χρονική Ανάλυση Συνδυαστική Πύλη: Ακμές από τις εισόδους στις εξόδους A B Y C Ακολουθιακή Πύλη: Ακμές Ρολογιού: (1) καθυστέρηση DQ, (2) έλεγχος χρονισμού D Qn Clk Q 5 Ιστορικό Μοντέλων Καθυστέρησης 6 3

4 PMOS Slow Fast Design Corners «Γωνίες Διεργασίας» FS FF TT SS FS Slow Fast NMOS 7 Χαρακτηριστικά Environmental parameters Process parameter Corner Voltage Temperature Vth Voltage Fast V nom +10% C V thnom -ΔV th Slow V nom -10% C V thnom +ΔV th Typical V nom 27 0 C V thnom 8 4

5 Υπολογισμός Καθυστέρησης Πύλης Process, Voltage Temperature (PVT) Καθυστέρηση, Δ = LUT(C out, t r/f(input) ) Χρόνος Ανόδου Καθόδου, t r/f(output) = LUT(C out, t r/f(input) ) Όπου: t r/f(input) υπολογίζεται βάση της πύλης που την οδηγεί ή την είσοδο C out συνυπολογίζει την συνολική χωρητικότητα στην έξοδο, ΣC. 9 Υπολογισμός Καθυστέρησης Πύλης με LUT (.lib) C load (ff) T in (ps) pS What is the delay when Cl oad is 505f F and T in is 90pS? 10 5

6 Υπολογισμός Καθυστέρησης Πύλης Ο υπολογισμός γίνεται βάση ενός 2Δ πίνακα: Input Transition (ns) Total Cload (ff) Γίνεται αναγωγή (interpolation) στις κοντινότερες τιμές Το ίδιο μοντέλο χρησιμοποιείται για τον υπολογισμό του χρόνου ανόδου/καθόδου 11 Υπολογισμός Καθυστέρησης Συνδέσεων Το μήκος ενός αγωγού, L, εξαρτάται από το πλήθος των συνδέσεων του Για το σχετικό χώρο στην κάτοψη, υπολογίζονται Cμέσο, Rμέσο Η Καθυστέρηση είναι ανάλογη του Rμέσο.Cμέσο Χωρητικότητα Αντίσταση συναρτήση του συναρτήση του πλήθους συνδέσεων πλήθους συνδέσεων (fanout): (fanout): Για fanout = Net delay = *

7 Τύποι Περιορισμών 13 Περιεχόμενα Καθυστέρηση και παράγοντες που την επηρεάζουν Συνδυαστική Πύλη Ακολουθιακή Πύλη Περιορισμοί Πρόθεσης (Setup), Διατήρησης (Hold) Γενικό Μοντέλο Σύγχρονου Κυκλώματος Είδη Μονοπατιών Στατική Χρονική Ανάλυση Βάση Περιορισμών Παραδείγματα Στατικής Χρονικής Ανάλυσης Χρονικοί Περιορισμοί στο Ρολόι Σχεδίαση Δέντρων Φραγή Ρολογιού (Clock Gating) 14 7

8 Ακολουθιακά Στοιχεία: Flip-Flop και Latch D-Type Flip-Flop Το παραπάνω FF, μεγαλύτερου εμβαδού, πρακτικά αποτελείται από τρείς μανταλωτές (D, CLK), (CLK, Y), (S, R) 15 Ακολουθιακά Στοιχεία: Flip-Flop Όταν το CLK στο latch κάνει μετάβαση 10, τα 2 αριστερά latches κλείνουν Αν το D αλλάζει κατά την διάρκεια του κλεισίματος, δηλ. σε χρόνο μικρότερο από την καθυστέρηση των SR, τότε το latch εξόδου δεν θα αποθηκεύσει την σωστή κατάσταση Η τελική κατάσταση που θα αποθηκευτεί θα εξαρτάται από τον θόρυβο και δρομήσεις στο κύκλωμα Περιορισμοί Setup (Πρόθεσης) και Hold (Διατήρησης) FF CLK (Clock) Setup Hold D (Data) 16 8

9 Flip-Flop: Χρονικές Παράμετροι T c2qm T c2q D Q Clk T su T hd Clk D A B Q Η είσοδος D πρέπει να μείνει σταθερή τουλάχιστον για χρόνο T su (setup time) πρίν την ακμή του ρολογιού και τουλάχιστον T hd (hold time) μετά την ακμή. Ένα παράθυρο χρόνου γύρω από την ακμή του ρολογιού για το οποίο η είσοδος πρέπει να μείνει σταθερή Η έξοδος Q αλλάζει λίγο μετά την ακμή του ρολογίου Τ c2q είναι ο χρόνος καθυστέρησης από την ακμή στην έξοδο (propagation delay) Τ c2qm είναι ο ελάχιστος χρόνος καθυστέρησης από την ακμή στην έξοδο (αρχίζουν να αλλάζουν τα δεδομένα) 17 A B Περιεχόμενα Καθυστέρηση και παράγοντες που την επηρεάζουν Συνδυαστική Πύλη Ακολουθιακή Πύλη Περιορισμοί Πρόθεσης (Setup), Διατήρησης (Hold) Γενικό Μοντέλο Σύγχρονου Κυκλώματος Είδη Μονοπατιών Στατική Χρονική Ανάλυση Βάση Περιορισμών Παραδείγματα Στατικής Χρονικής Ανάλυσης Χρονικοί Περιορισμοί στο Ρολόι Σχεδίαση Δέντρων Φραγή Ρολογιού (Clock Gating) 18 9

10 Γενικό Μοντέλο Σύγχρονου Κυκλώματος Πιθανή Ανάδραση Πρωτεύουσες Είσοδοι (Primary Inputs) Πιθανή Ανάδραση Συνδυαστική Λογική (Σ.Λ.) Καταχωρητές Πιθανή Ανάδραση Συνδυαστική Λογική (Σ.Λ.) Καταχωρητές Πρωτεύουσες Έξοδοι (Primary Outputs) Ρολόι Συνδέσεις, Καταχωρητές αποτελούνται από πολλαπλά bit Καταχωρητές Σύνολο Flip-flop Συνοριακά Στοιχεία Πρωτεύουσες Είσοδοι (Primary Inputs) Συνδυαστική Λογική (σύννεφο) Τοπικές Έξοδοι = f(τοπικών Εισόδων) Είναι πιθανό να υπάρχει ανάδραση μεταξύ των τμημάτων 19 Ορισμός Ρολογιού ως SDC 20 10

11 Είδη Μονοπατιών Σαν μονοπάτι ορίζουμε μια διαδρομή πυλών, η οποία αντιστοιχεί σε περιορισμό setup ή hold τα κυκλώματα συνήθως αναπαριστώνται ως γράφοι (κόμβοι = πύλες, ακμές = συνδέσεις), έτσι η έννοια του μονοπατιού είναι όμοια με ένα μονοπάτι στον γράφο Διακρίνουμε τριών ειδών μονοπάτια που ενδιαφέρουν ως προς τους χρονικούς περιορισμούς: Από Είσοδο σε Καταχωρητή Από Καταχωρητή σε Καταχωρητή (στον εαυτό του ή άλλον) Από Καταχωρητή σε Έξοδο 21 Παράδειγμα Κυκλώματος Σειριακός Ολισθητής με Παράλληλη Φόρτιση Μονοπάτια και Καταχωρητές 1 bit Συνδυαστική Λογική πολυπλέκτης Δεν υπάρχει ανάδραση μεταξύ των τμημάτων Σ.Λ

12 Περιεχόμενα Καθυστέρηση και παράγοντες που την επηρεάζουν Συνδυαστική Πύλη Ακολουθιακή Πύλη Περιορισμοί Πρόθεσης (Setup), Διατήρησης (Hold) Γενικό Μοντέλο Σύγχρονου Κυκλώματος Είδη Μονοπατιών Στατική Χρονική Ανάλυση Βάση Περιορισμών Παραδείγματα Στατικής Χρονικής Ανάλυσης Χρονικοί Περιορισμοί στο Ρολόι Σχεδίαση Δέντρων Φραγή Ρολογιού (Clock Gating) 23 Στατική Χρονική Ανάλυση D Q D Q Συνδυαστική Λογική (Σ.Λ.) D Q D Q Ρολόι Χρονικές Παράμετροι Καταχωρητών T clk : Περίοδος Ρολογιού T su : Setup time T hd : Hold time T c2q : Clock to Q (worst-case) T c2qm : Clock to Q (min) Χρονικές Παράμετροι Σ.Λ. T cl : Μέγιστη Καθυστέρηση Σ.Λ. Κρίσιμο μονοπάτι T clm : Ελάχιστη Καθυστέρηση Σ.Λ. Ελάχιστο μονοπάτι 24 12

13 ΣΧΑ Ελάχιστη Περίοδος D Q Συνδυαστική 1 Λογική (Σ.Λ.) D Q 2 D Q CL out Clk Clk In T su T hd a b Q T 1 c2q a b T c2qm CL out A B T clogm T cl T su2 T clk T c2q + T cl + T su 25 ΣΧΑ Περιορισμός Διατήρησης (Hold) D Q Συνδυαστική 1 Λογική (Σ.Λ.) D Q 2 D Q Clk Clk In T su T hd a b Q T 1 c2q a b T c2qm CL out A B T clm T cl T hd2 T hd T c2qm + T clm 26 13

14 Στατική Χρονική Ανάλυση Γενικά, για σωστή λειτουργία πρέπει για όλα τα μονοπάτια να ισχύει: T clk T c2q + T cl + T su T hd T c2qm + T clm Πώς βρίσκουμε όλα τα μονοπάτια ; Από κάθε είσοδο ή έξοδο καταχωρητή σε κάθε είσοδο καταχωρητή ή έξοδο του κυκλώματος Το πιο αργό μονοπάτι συνδυαστικής λογικής είναι αυτό που καθορίζει το T cl (οπότε και την ελάχιστη περίοδο) και λέγεται κρίσιμο μονοπάτι. Ο εντοπισμός του μας δίνει τη δυνατότητα να προσπαθήσουμε να απλοποιήσουμε την λογική του μονοπατιού και να πετύχουμε υψηλότερη συχνότητα λειτουργίας του κυκλώματος. 27 Περιεχόμενα Καθυστέρηση και παράγοντες που την επηρεάζουν Συνδυαστική Πύλη Ακολουθιακή Πύλη Περιορισμοί Πρόθεσης (Setup), Διατήρησης (Hold) Γενικό Μοντέλο Σύγχρονου Κυκλώματος Είδη Μονοπατιών Στατική Χρονική Ανάλυση Βάση Περιορισμών Παραδείγματα Στατικής Χρονικής Ανάλυσης Χρονικοί Περιορισμοί στο Ρολόι Σχεδίαση Δέντρων Φραγή Ρολογιού (Clock Gating) 28 14

15 Παράδειγμα (1/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Ποιό είναι το κρίσιμο μονοπάτι; Πόση είναι η ελάχιστη περίοδος ρολογιού; Καλύπτονται όλες οι συνθήκες χρονισμού; 29 Παράδειγμα (2/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Ποιό είναι το κρίσιμο μονοπάτι; 30 15

16 Παράδειγμα (3/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Πόση είναι η ελάχιστη περίοδος ρολογιού; T min = T c2q + T and + T mux + T and + T su = 7.9 ns 31 Παράδειγμα (4/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Καλύπτονται όλες οι συνθήκες χρονισμού; OXI!!! Έχουμε T clm = 0 ns και T c2qm = 0.2ns Πρέπει T hd T c2qm + T clm 32 16

17 Παράδειγμα (5/6) 0 T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Καλύπτονται όλες οι συνθήκες χρονισμού; Πρέπει T hd T c2qm + T clm Προσθέτουμε μια πύλη με Τ or = 1ns (αρκεί;) Έστω T orm = T or και γενικά για όλες τις πύλες, Τώρα ΝAI!!! Έχουμε T clm = 1ns και T c2qm = 0.2ns 33 Παράδειγμα (6/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Καλύπτονται όλες οι συνθήκες χρονισμού; Πρέπει T hd T c2qm + T clogm Συνήθως βάζουμε 2 αντιστροφείς (έστω T invm = 0.3ns) ΟΚ!!! Έχουμε T clm = 0.6 ns και T c2qm = 0.2ns 34 17

18 Αναλυτικότερα 35 Αναλυτικότερα 36 18

19 Κατά Μονοπάτι (Path-based) ή Κατά Πλάτος (Block-based) Ανάλυση Κατά Μονοπάτι (Path-based) Κατά Πλάτος (Block-based) 1. Καταμέτρηση Μονοπατιών του Κυκλώματος 2. Για κάθε μονοπάτι, αξιολογείται ο χρόνος άφιξης, AT, βάση των συναποτελούντων του πυλών, και συγκρίνεται με τον περιορισμό RAT 3. Περιθώριο: Slack = RAT - AT Ο αριθμός των μονοπατιών ενός κυκλώματος είναι εκθετικός, Ο(K N ), για Ν, τον αριθμό των πυλών που το συναποτελούν. 1. Υπολογισμό Χρόνου Άφιξης, AT, ανά δομικό στοιχείο του κυκλώματος, δηλ. πύλες, συνδέσεις, εισόδους/εξόδους, από τις εισόδους προς τις εξόδους 2. Υπολογισμό περιορισμού, RAT, αντίστροφα, από τις εξόδους προς τις εισόδους 3. Περιθώριο: Slack = RAT - AT 37 Κατά Μονοπάτι (Path-based) ή Κατά Πλάτος (Block-based) Ανάλυση AT=2 AT= RAT=10 Κατά Μονοπάτι: = 7, SLACK = = 9, SLACK = = 10, SLACK = = 10, SLACK = = 11, SLACK = = 8, SLACK = 2 AT=2 AT=5 AT=2 RAT=5 3 1 AT=5 RAT=4 2 1 AT=6 RAT=5 3 1 AT=7 RAT=7 AT=9 RAT=8 3 2 RAT=10 AT=11 RAT=10 Κατά Πλάτος: Σε κάθε κόμβο υπολογίζουμε το χείριστο χρόνο άφιξης, AT,αναλύοντας προς τα εμπρός, και τον απαιτούμενο χρόνο άφιξης, RAT,αναλύοντας προς τα πίσω 38 19

20 Τύποι Μονοπατιών In Out In Out Είσοδος προς Καταχωρητή Καταχωρητή προς Έξοδο Καταχωρητή προς Καταχωρητή Είσοδο προς Έξοδο 39 Χρόνος Άφιξης Χρόνος Άφιξης (Input Arrival Time) Παράθυρο, σχετικό με την κοντινότερη ακμή του ρολογιού, στο οποίο αφικνείται ένα σήμα εισόδου στην σχετική είσοδο set_input_delay 1 clock clk 40 20

21 Απαιτούμενος Χρόνος Αναχώρησης Χρόνος Αναχώρησης (Output DepartureTime) Παράθυρο, σχετικό με την κοντινότερη ακμή του ρολογιού, στο οποίο αναχωρεί ένα σήμα εξόδου από την σχετική έξοδο set_output_delay 1 clock clk 41 Υπολογισμός Συνθήκης Διατήρησης (Hold) Χείριστη Περίπτωση: Ελάχιστος χρόνος άφιξης δεδομένων στον καταχωρητή (από ρολόι αποστολέα ή πρωτεύουσα είσοδο) Μέγιστος χρόνος άφιξης ρολογιού στον καταχωρητή (από ρολόι παραλήπτη ή απαιτούμενος χρόνος αναχώρησης) Περιθώριο (Slack) Περιθώριο = Χρόνος Άφιξης Απαιτούμενος Χρόνος Άφιξης = [Min(Άφιξη Ρολογιού απ.+ Καθυστέρηση Μονοπατιού απ.)] [Max(Άφιξη Ρολογιού παρ. + Καθυστέρηση Δέντρου παρ.) + T hd ] 42 21

22 Υπολογισμός Συνθήκης Διατήρησης (Hold) Min(ακμή) = 8.002s Min(καθυστέρηση ρολογιού) = 0.002ns Min(καθυστέρηση λογικής) = 0.802ns T hd = 1.046ns Max(ακμή) = 2.02ns Max(καθυστέρηση ρολογιού) = 0.500ns 43 Υπολογισμός Συνθήκης Διατήρησης (Hold) 44 22

23 Υπολογισμός Συνθήκης Πρόθεσης (Setup) Χείριστη Περίπτωση: Μέγιστος χρόνος άφιξης δεδομένων στον καταχωρητή (από ρολόι αποστολέα ή πρωτεύουσα είσοδο) Ελάχιστος χρόνος άφιξης ρολογιού στον καταχωρητή (από ρολόι παραλήπτη ή απαιτούμενος χρόνος αναχώρησης) Περιθώριο (Slack) Περιθώριο = Χρόνος Άφιξης Απαιτούμενος Χρόνος Άφιξης = [Max(Άφιξη Ρολογιού απ.+ Καθυστέρηση Μονοπατιού απ.)] [Min(Άφιξη Ρολογιού παρ. + Καθυστέρηση Δέντρου παρ.) + T setup ] 45 Υπολογισμός Συνθήκης Πρόθεσης (Setup) Max(ακμή) = 2.02ns Max(καθυστέρηση ρολογιού) = 0.002ns Max(καθυστέρηση λογικής) = ns T setup = 0.046ns Min(ακμή) = 20.02ns Min(καθυστέρηση ρολογιού) = 0.500ns 46 23

24 Υπολογισμός Συνθήκης Πρόθεσης (Setup) 47 Περιεχόμενα Καθυστέρηση και παράγοντες που την επηρεάζουν Συνδυαστική Πύλη Ακολουθιακή Πύλη Περιορισμοί Πρόθεσης (Setup), Διατήρησης (Hold) Γενικό Μοντέλο Σύγχρονου Κυκλώματος Είδη Μονοπατιών Στατική Χρονική Ανάλυση Βάση Περιορισμών Παραδείγματα Στατικής Χρονικής Ανάλυσης Χρονικοί Περιορισμοί στο Ρολόι Σχεδίαση Δέντρων Φραγή Ρολογιού (Clock Gating) 48 24

25 Απαγορευμένη Δομή Ρολογιού Απαγορευμένη Δομή Ρολογιού

26 Ρολόγια Το ρολόι δεν φτάνει απολύτως ταυτόχρονα σε κάθε καταχωρητή απόκλιση (skew) Εξαρτάται από την καθυστέρηση των μονοπατιών του δέντρου Πριν την φυσική σχεδίαση, το ρολόι θεωρείται ιδανικό Prelayout: Postlayout: Clk Clk.... set_clock_uncertainty μοντελοποιεί απόκλιση (skew) set_clock_latency μοντελοποιεί την καθυστέρηση του Δέντρου set_propagated_clock κυριολεκτικές καθυστερήσεις άφιξης του Ρολογιού 51 Περιορισμοί στο Ρολόι Απόκλιση (Skew) D AQ B Clk Δ Αν Δ = 0, το σύστημα εναλλάσσει τιμές ΑΒ, ΒΑ, Στο παραπάνω παράδειγμα απόκλισης άφιξης μπορεί να εμπεριέχεται και συνδυαστική λογική μεταξύ Α, Β. Τι θα συμβεί καθώς το Δ 0, και το Δ μεγαλώνει; Ο διαθέσιμος χρόνος ΒΑ μικραίνει Αν Δ Τc2q, τότε η είσοδος του Β θα έχει γίνει Β, μια και θα έχει επαναγραφεί το Α, άρα θα μείνουμε με ΒΒ, ΒΒ, 52 26

27 Απόκλιση (Skew) και Τρίκλισμα (Jitter) Απόκλιση (Skew) Σταθερή από κύκλο σε κύκλο Απόκλιση στους χρόνους άφιξης στο σήμα ρολογιού στα διαφορετικά σημεία του κυκλώματος Τρίκλισμα (Jitter) Μεταβλητή απόκλιση από μεταβατικά, περιοδικά η μη φαινόμενα σε συγκεκριμένο σημείο του κυκλώματος Τ jitter Τ skew 53 Θετική και Αρνητική Απόκλιση (α) Θετική Απόκλιση Ρολόι και Δεδομένα προς την ίδια κατεύθυνση (β) Αρνητική Απόκλιση Ρολόι και Δεδομένα προς αντίθετη κατεύθυνση 54 27

28 Θετική Απόκλιση Η ακμή αποστολής καταφτάνει πριν την ακμή λήψης 55 Αρνητική Απόκλιση Η ακμή λήψης καταφτάνει πριν την ακμή αποστολής 56 28

29 Τρίκλισμα (Jitter) Το τρίκλισμα προκαλεί δυναμική μεταβολή της περιόδου Τ από κύκλο σε κύκλο 57 ΣΧΑ με Απόκλιση και Τρίκλισμα Απόκλιση: T sk = δ Τρίκλισμα : T jt t clk1 t clk2 T clk T c2q + T cl + T su - T sk + 2T jt (χείριστη περίπτωση μέγιστης καθυστέρησης) T hd T c2qm + T clm - T sk - 2T jt (χείριστη περίπτωση ελάχιστης καθυστέρησης 58 29

30 ΣΧΑ με Απόκλιση και Τρίκλισμα Απόκλιση: T sk = δ Τρίκλισμα : T jt 59 Πηγές Απόκλισης, Τρικλίσματος (1): Γεννήτρια ρολογιού (PLL) Τρίκλισμα (3): Μεταβλητότητα στις Συνδέσεις Απόκλιση (2): Μεταβλητότητα στους οδηγούς Απόκλιση (4, 5): Μεταβλητότητα στην τάση πηγής, θερμοκρασία Τρίκλισμα και Απόκλιση (6, 7): Αλληλοεπίδραση μέσω Χωρητικότητας (Παρασιτικές Συνδέσεις Πυκνωτών) Τρίκλισμα 60 30

31 Ψευδές Μονοπάτι Υπαρκτά μονοπάτια στο σχέδιο, που όμως δεν είναι λειτουργικά Δεν ευαισθητοποιούνται υπό οποιεσδήποτε συνθήκες Mux 1 Mux 2 A B B1 B2 C C1 C2 OUT S 61 Μονοπάτια Πολλαπλών Κύκλων Μονοπάτια καθυστέρησης 1 κύκλου Καθυστέρηση 2 κύκλων 62 31

32 Ψευδή Μονοπάτια και Πολλαπλών Κύκλων Αν δυο ρολόγια είναι ασύγχρονα μεταξύ τους, δεν ελέγχονται σχέσεις πρόθεσης/διατήρησης (setup/hold) set_false_path from CLKA to CLKB set_false_path from CLKB to CLKA Μονοπάτια που δεν έχουν σχέση με τον χρονισμό, λ.χ. σημάτων αρχικοποίησης set_false_path from reset Περιορισμοί πολλαπλών κύκλων, λ.χ. σε έναν πολλαπλασιαστή A(64:0) B(64:0) * C(64:0) clk T=5ns, Multiplier Delay Δ = [20,30] set_multicycle_path setup 6 Ανάθεσε 6 περιόδους για έλεγχο πρόθεσης set_multicycle_path hold 4 Πρόσθεσε 4 περιόδους για έλεγχο διατήρησης Ο έλεγχος διατήρησης γίνεται στους 2 τελευταίους κύκλους 63 Δέντρα/Δίκτυα Ρολογιού και ΣΧΑ Καταχωρητής Χρόνοι Άφιξης Ενισχυτής Buffer Καταχωρητής Ρίζα - CLK Ενισχυτής Buffer Απόκλιση Καταχωρητής Καταχωρητής Τύποι Δικτύων Ρολογιού Δέντρο (όπως δεξιά) Πλέγμα (Mesh) Ενισχυτής Buffer Καταχωρητής Καταχωρητής 64 32

33 Δέντρα/Δίκτυα Ρολογιού και ΣΧΑ Καθυστέρηση Δέντρου Δ(άφιξη στην ρίζα, άφιξη στο φύλλο) Διαφοροποιείται ανάλογα με την ακμή! Και η καθυστέρηση και η απόκλιση διαφοροποιούνται ανάλογα με την ακμή! INV INV Rise=7 Fall=4 INV Rise=7 Fall=4 INV Rise=7 Fall=4 INV Rise=7 Fall=4 CLKA CLK INV Rise=7 Fall=4 BUF Rise=7 Fall=4 CLKB BUF Rise=7 Fall=4 CLKC 65 Δέντρα/Δίκτυα Ρολογιού και ΣΧΑ 66 33

34 Δέντρα/Δίκτυα Ρολογιού και ΣΧΑ Απόκλιση η απόκλιση είναι η διαφορά μεταξύ της καθυστέρησης, Δ(άφιξη στην ρίζα, άφιξη στο φύλλο), στα διαφορετικά φύλλα Μεταξύ CLKA και CLKB Για ακμή ανόδου: 22 8 = 14 Για ακμή καθόδου: = 8 Μεταξύ CLKB και CLKA Για ακμή ανόδου: 8 7 = 1 Για ακμή καθόδου: 14 4 = 10 Μεταξύ CLKA και CLKC Για ακμή ανόδου: 22 7 = 15 Για ακμή καθόδου: 22 4 = Διάταξη Η-Δένδρου Με τέλεια ισοσκέλιση των μονοπατιών η απόκλιση μπορεί να γίνει μηδέν Μπορεί να υποστηρίξει φραγή του ρολογιού σε διάφορα επίπεδα του δένδρου 68 34

35 Πραγματικό Η-Δέντρο 69 Περιεχόμενα Καθυστέρηση και παράγοντες που την επηρεάζουν Συνδυαστική Πύλη Ακολουθιακή Πύλη Περιορισμοί Πρόθεσης (Setup), Διατήρησης (Hold) Γενικό Μοντέλο Σύγχρονου Κυκλώματος Είδη Μονοπατιών Στατική Χρονική Ανάλυση Βάση Περιορισμών Παραδείγματα Στατικής Χρονικής Ανάλυσης Χρονικοί Περιορισμοί στο Ρολόι Σχεδίαση Δέντρων Φραγή Ρολογιού (Clock Gating) 70 35

36 Φραγή Ρολογιού (Clock Gating) Κατά ένα μεγάλο ποσοστό, οι καταχωρητές διατηρούν την τιμή τους από κύκλο σε κύκλο Ιδέα να εμποδίσουμε την σχετική μετάβαση του ρολογιού Στόχος Μείωση δυναμικής κατανάλωσης ενέργειας clk clk en en_1 en_2 en_3 en_4 71 Φραγή Ρολογιού με χρήση Μανταλωτή Το σήμα ενεργοποίησης (Enable) είναι σταθερό μόνο πριν την σχετική ακμή Χρησιμοποιούμε μανταλωτή πολικότητας αντίθετης των flip-flop Enable Clock D G Latch Q Gated_clock Clock Stability region Enable Q Gated_clock 72 36

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. 1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα HY330 Ψηφιακά - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 Μανταλωτές θετικής, αρνητικής πολικότητας Σχεδίαση με Μανταλωτές

Διαβάστε περισσότερα

HY422 Ειςαγωγή ςτα υςτήματα VLSI. 5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ

HY422 Ειςαγωγή ςτα υςτήματα VLSI.  5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ HY422 Ειςαγωγή ςτα υςτήματα VLSI Διδάςκων: Χ. ωτηρίου, Βοηθόσ: Π. Ματθαιάκησ http://www.csd.uoc.gr/~hy422 1 ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ Περιεχόμενα Γενικό Μοντζλο φγχρονου Κυκλώματοσ Είδθ Μονοπατιών

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2015-2016 ΗΥ220 -Γιώργος Καιλοκαιρινός & Βασίλης Παπαευσταθίου 1 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 -Γιώργος

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Τα βασικά της

Διαβάστε περισσότερα

Χρονισμός Σύγχρονων Κυκλωμάτων, Καταχωρητές και Μανταλωτές. Χειμερινό Εξάμηνο

Χρονισμός Σύγχρονων Κυκλωμάτων, Καταχωρητές και Μανταλωτές. Χειμερινό Εξάμηνο HY220 Χρονισμός Σύγχρονων Κυκλωμάτων, Καταχωρητές και Μανταλωτές Χειμερινό Εξάμηνο 2009 20102010 Γενικό Μοντέλο Σύγχρονων Κυκλωμάτων clock input input CL reg CL reg output option feedback Τα καλώδια, εκτός

Διαβάστε περισσότερα

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Ακολουθιακή Λογική Κεφάλαιο 7 ο Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταστάθεια 2. Μανδαλωτές 3. Flip Flops Flops 4. Δομές διοχέτευσης 5. Διανομή ρολογιού 6. Συγχρονισμός

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2017-2018 Χρονισµός Σύγχρονων Κυκλώµατων, Καταχωρητές και Μανταλωτές ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Γενικό Μοντέλο Σύγχρονων

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Ακολουθιακή Λογική Κεφάλαιο 10 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταευστάθεια 2. Μανδαλωτές 3. Flip

Διαβάστε περισσότερα

Xρονισμός ψηφιακών κυκλωμάτων

Xρονισμός ψηφιακών κυκλωμάτων Xρονισμός ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Φθινόπωρο 2008 ΗΥ220 1 Περιεχόμενα μαθήματος Καθυστέρηση λογικών πυλών και των συνδυαστικών κυκλωμάτων

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2006-2007 Χρονισµός Σύγχρονων Κυκλώµατων, Καταχωρητές και Μανταλωτές ΗΥ220 - Βασίλης Παπαευσταθίου 1 Γενικό Μοντέλο Σύγχρονων Κυκλωµάτων clock input

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 27 Νοε-7 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 27 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα:

Διαβάστε περισσότερα

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ.

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ. HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ http://www.csd.uoc.gr/~hy220 1 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ 1) Το παρακάτω κύκλωμα του σχήματος 1 είναι ένας καταχωρητής-ολισθητής

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Γενικές Γραμμές Ακολουθιακή Λογική Μεταστάθεια S-R RLatch h( (active high h&l low) S-R Latch with Enable Latch Flip-Flop Ασύγχρονοι είσοδοι PRESET

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 28 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.1: Συνδυαστική Λογική - Βασικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Κυκλώματα αποθήκευσης με ρολόι

Κυκλώματα αποθήκευσης με ρολόι Κυκλώματα αποθήκευσης με ρολόι Latches και Flip-Flops Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης 1 Γιατί χρειαζόμαστε τα ρολόγια Συνδιαστική λογική Η έξοδος εξαρτάται μόνο

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 8 η :

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

Τεχνικές σχεδιασμού μονοπατιών ολίσθησης

Τεχνικές σχεδιασμού μονοπατιών ολίσθησης Τεχνικές σχεδιασμού μονοπατιών ολίσθησης (Scan Path Design Techniques) Περίγραμμα παρουσίασης Προβλήματα ελέγχου ορθής λειτουργίας ακολουθιακών κυκλωμάτων Μονοπάτι ολίσθησης (scan path) Στοιχεία μνήμης

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009.

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009. ΗΜΥ-20: Σχεδιασμός Ψηφιακών Συστημάτων Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία Κ. Μιχαήλ Ακολουθιακά Κυκλώματα Συνδυαστική Λογική: Η τιμή σε μία έξοδο εξαρτάται

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 28 Νοε-8 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Ανάλυση Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου

Διαβάστε περισσότερα

Χρονισμός ψηφιακών κυκλωμάτων

Χρονισμός ψηφιακών κυκλωμάτων Χρονισμός ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Γ. Δημητρακόπουλος HY422 1 Tρόποι χρονισμού Πως μπορούμε να συνδέσουμε τα στοιχεία αποθήκευσης με τη

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Ρολόι και Χρονισμός Χειμερινό Εξάμηνο 2009 2010 Synchronous Timing Όλα τα στοιχεία στο σύστημα ενημερώνονται ταυτόχρονα με ένα κεντρικό ρολόι Στην πραγματικότητα Clock

Διαβάστε περισσότερα

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Βασικές αρχές Σχεδίαση Latches και flip-flops Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 Ακολουθιακή

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops και Μετρητές Ριπής Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) Αντικείμενο της άσκησης: H σχεδίαση και η χρήση ασύγχρονων απαριθμητών γεγονότων. Με τον όρο απαριθμητές ή μετρητές εννοούμε ένα ακολουθιακό κύκλωμα με FF, οι καταστάσεις

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης Ψηφιακά Συστήματα 7. Κυκλώματα Μνήμης Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 12: Ανάλυση Ακολουθιακών Κυκλωµάτων (Κεφάλαιο 6.2) Μηχανές Καταστάσεων ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy)

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 8: Μανδαλωτές SR, S R D Flip-Flops Αφέντη Σκλάβου, Σχεδιασμός Ακολουθιακών κυκλωμάτων, Πίνακας Καταστάσεων, Διάγραμμα Καταστάσεων

Διαβάστε περισσότερα

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής Τ.Ε.

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ FLIP-FLOP ΤΟ ΒΑΣΙΚΟ FLIP-FLOP ΧΡΟΝΙΖΟΜΕΝΑ FF ΤΥΠΟΥ FF ΤΥΠΟΥ D FLIP-FLOP Τ FLIP-FLOP ΠΥΡΟΔΟΤΗΣΗ ΤΩΝ FLIP-FLOP ΚΥΡΙΟ - ΕΞΑΡΤΗΜΕΝΟ FLIP-FLOP ΑΚΜΟΠΥΡΟΔΟΤΟΥΜΕΝΑ FLIP-FLOP ΚΥΚΛΩΜΑΤΑ

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων Ψηφιακή Σχεδίαση Κεφάλαιο 5: Σύγχρονη Ακολουθιακή Λογική Σύγχρονα Ακολουθιακά Κυκλώµατα Είσοδοι Συνδυαστικό κύκλωµα

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης

ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης 4-11-2009 Πρόοδος Θέμα 1 ο (25%): 1. Βρείτε την μεγίστη συχνότητα λειτουργίας του παρακάτω

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

Αρχιτεκτονικές Υπολογιστών

Αρχιτεκτονικές Υπολογιστών ΑΡΧΙΤΕΚΤΟΝΙΚΕΣ ΥΠΟΛΟΓΙΣΤΩΝ Μάθηµα: Αρχιτεκτονικές Υπολογιστών FLIP-FLOPS ΣΥΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΙΑ ΙΚΑΣΙΑ ΑΝΑΛΥΣΗΣ ΚΑΙ ΣΧΕ ΙΑΣΗ ΣΑΚ ιδάσκων: Αναπλ. Καθ. Κ. Λαµπρινουδάκης clam@uipi.gr Αρχιτεκτονικές

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών) Τα ψηφιακά ηλεκτρονικά κυκλώματα χωρίζονται σε κατηγορίες ( λογικές οικογένειες ) ανάλογα με την τεχνολογία κατασκευής

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Ακολουθιακά Κυκλώματα (συν.) Κυκλώματα που Κυκλώματα που αποθηκεύουν εξετάσαμε μέχρι τώρα πληροφορίες Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Ανάλυση Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Ανάλυση Ακολουθιακών Κυκλωμάτων Ανάλυση: Ο καθορισμός μιας κατάλληλης περιγραφής η οποία επιδεικνύει

Διαβάστε περισσότερα

Pipelining και Παράλληλη Επεξεργασία

Pipelining και Παράλληλη Επεξεργασία Pipelining και Παράλληλη Επεξεργασία Εισαγωγή Σωλήνωση - Pipelining Βασισμένη στην ιδέα σωλήνα που στέλνει νερό χωρίς να περιμένει το νερό που μπαίνει σε ένα σωλήνα να τελειώσει water pipe Μπορεί να οδηγήσει

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 10:

Ψηφιακή Σχεδίαση Ενότητα 10: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 10: Καταχωρητές & Μετρητές Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός

Διαβάστε περισσότερα

Σχεδίαση υψηλών επιδόσεων pipelining παράλληλη επεξεργασία

Σχεδίαση υψηλών επιδόσεων pipelining παράλληλη επεξεργασία Σχεδίαση υψηλών επιδόσεων pipelining παράλληλη επεξεργασία Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Φθινόπωρο 2008 ΗΥ220 1 Περιεχόμενα μαθήματος Ρυθμός εκτέλεσης εργασιών

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q.

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q. 8. ΣΟΙΧΕΙΑ ΜΝΗΜΗΣ 8. Εισαγωγή Στα συνδυαστικά κυκλώματα, που μελετήσαμε έως τώρα, δεν υπήρχε κάποια διαδικασία ανάδρασης (Feed Back) -δηλαδή οδήγηση της εξόδου των στοιχείων στην είσοδό τους- επομένως

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out ΑΣΚΗΣΗ 7 ΘΕΜΑ 1ο MINORITY A B C C out S S C out C OUT = MAJ(A,B,C) = Majority(A,B,C) = 1 when at least 2 (majority) of A, B, and C are equal to 1. Opposite Minority MAJ(A,B,C) = AB + BC + AC (PMOS and

Διαβάστε περισσότερα

f(x, y, z) = y z + xz

f(x, y, z) = y z + xz Λύσεις θεμάτων Εξεταστικής Περιόδου Ιανουαρίου Φεβρουαρίου 27 ΘΕΜΑ Ο (2, μονάδες) Δίνεται η λογική συνάρτηση : f (, y, z ) = ( + y )(y + z ) + y z. Να συμπληρωθεί ο πίνακας αλήθειας της συνάρτησης. (,

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν. ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 ii: Μετρητές Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Μετρητής Ριπής Περίληψη Σύγχρονος υαδικός Μετρητής Σχεδιασµός µε Flip-Flops

Διαβάστε περισσότερα

Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών. Συστήματα VLSI. Πρόοδος Άνοιξη 2018

Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών. Συστήματα VLSI. Πρόοδος Άνοιξη 2018 Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Γ. Δημητρακόπουλος Συστήματα VLSI Πρόοδος Άνοιξη 2018 Άσκηση 1 Όλο το κύκλωμα τροφοδοτείται με το ίδιο ρολόι και το

Διαβάστε περισσότερα

Πρότυπα Συµβόλων για τις Μονάδες Μνήµης. Άµεση Είσοδοι (Direct Inputs) Χρονικοί Παράµετροι (Flip-Flop Timing Parameters)

Πρότυπα Συµβόλων για τις Μονάδες Μνήµης. Άµεση Είσοδοι (Direct Inputs) Χρονικοί Παράµετροι (Flip-Flop Timing Parameters) Πρότυπα Συµβόλων για τις Μονάδες Μνήµης Άµεση Είσοδοι (irect Inputs) Master-lave: Postponed output indicators Edge-Triggered: namic indicator with ontrol with ontrol (a) Latches Triggered Triggered Triggered

Διαβάστε περισσότερα

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 6-i: Ακολουθιακά Κυκλώµατα Μανδαλωτές (Latches) και Flip-Flops Ακολουθιακά Κυκλώµατα Συνδυαστική Λογική:

Διαβάστε περισσότερα

What we should learn. Συστήματα VLSI 2

What we should learn. Συστήματα VLSI 2 What we should learn Συστήματα VLSI 2 Delay Definitions t pdr : rising propagation delay From input to rising output crossing V DD /2 t pdf : falling propagation delay From input to falling output crossing

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΚΗΣΗ ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Στόχος της άσκησης: Η διαδικασία σχεδίασης σύγχρονων ακολουθιακών κυκλωμάτων. Χαρακτηριστικό παράδειγμα σύγχρονων ακολουθιακών κυκλωμάτων είναι οι σύγχρονοι μετρητές. Τις αδυναμίες

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 11: Ακολουθιακά Κυκλώµατα (Κεφάλαιο 5, 6.1, 6.3, 6.4) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Ακολουθιακά

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

Σωστή απάντηση το: Γ. Απάντηση

Σωστή απάντηση το: Γ. Απάντηση Ειδικά Θέματα Ελέγχου Ορθής Λειτουργίας VLSI Συστημάτων - Σχεδιασμός για Εύκολο Έλεγχο Εξετάσεις ΟΣΥΛ & ΕΤΥ 4-7- 2016 Ειδικά Θέματα Σχεδίασης Ψηφιακών Συστημάτων Εξετάσεις μαθήματος επιλογής Τμήματος Μηχανικών

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ Θεωρητικό

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 Παραπάνω παρουσιάζεται ο πιο συνήθης χωροθέτηση αριθμητικών, λογικών κυκλωμάτων. Η μονάδα επεξεργασίας είναι η λέξη (λ.χ. 32-bit σε επεξεργαστές, 8-bit σε DSP)

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΕΦΑΡΜΟΓΕΣ ΚΑΤΑΧΩΡΗΤΩΝ ΟΛΙΣΘΗΣΗΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΕΦΑΡΜΟΓΕΣ ΚΑΤΑΧΩΡΗΤΩΝ ΟΛΙΣΘΗΣΗΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΕΦΑΡΜΟΓΕΣ ΚΑΤΑΧΩΡΗΤΩΝ ΟΛΙΣΘΗΣΗΣ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ Στο διπλανό σχήμα φαίνεται το διάγραμμα ακροδεκτών

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ ΑΡΧΗ 1ΗΣ ΣΕΛΙΔΑΣ Γ ΤΑΞΗ ΕΠΑΛ (ΟΜΑΔΑ Α ) & ΜΑΘΗΜΑΤΩΝ ΕΙΔΙΚΟΤΗΤΑΣ ΕΠΑΛ (ΟΜΑΔΑ Β ) ΣΑΒΒΑΤΟ 16/04/2016 - ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ (ΣΥΣΤΗΜΑΤΑ ΨΗΦΙΑΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ) ΣΥΝΟΛΟ ΣΕΛΙΔΩΝ: ΠΕΝΤΕ (5) ΕΝΔΕΙΚΤΙΚΕΣ

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.3: Συνδυαστική Λογική - Δυναμικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ, Θεωρητικής Κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 5 η :

Διαβάστε περισσότερα

Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Οικογένειες Ψηφιακής Λογικής Τάση τροφοδοσίας Λογικά επίπεδα - Περιθώριo θορύβου Χρόνος μετάβασης Καθυστέρηση διάδοσης Κατανάλωση ισχύος Γινόμενο

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 8. Καταχωρητές

Ψηφιακά Συστήματα. 8. Καταχωρητές Ψηφιακά Συστήματα 8. Καταχωρητές Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά

Διαβάστε περισσότερα

Χρονική ανάλυση και χρονισμός ψηφιακών κυκλωμάτων

Χρονική ανάλυση και χρονισμός ψηφιακών κυκλωμάτων Χρονική ανάλυση και χρονισμός ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Συστήματα VLSI 1 What we should learn Συστήματα VLSI 2 Delay Definitions t pdr :

Διαβάστε περισσότερα