Κάζε functional unit ρξεζηκνπνηείηαη κηα θνξά ζε θάζε θύθιν: αλάγθε γηα πνιιαπιό hardware = θόζηνο πινπνίεζεο!

Σχετικά έγγραφα
Κάθε functional unit χρησιμοποιείται μια φορά σε κάθε κύκλο: ανάγκη για πολλαπλό hardware = κόστος υλοποίησης!

Multi Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

Κάθε functional unit χρησιµοποιείται µια φορά σε κάθε κύκλο: ανάγκη για πολλαπλό hardware = κόστος υλοποίησης!

O επεξεπγαζηήρ: Η δίοδορ δεδομένων (datapath) θαη ε μονάδα ελέγσος (control)

O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control)

Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών - Μηχανικών Υπολογιστών. Αρχιτεκτονική Υπολογιστών Νεκτάριος Κοζύρης. Multicycle datapath

Single Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control)

Υλοποίηση Mικροεπεξεργαστή MIPS -16

και η µονάδα ελέγχου (control) O επεξεργαστής: Η δίοδος δεδοµένων (datapath) Εντολές διακλάδωσης (branch beq, bne) I Type Σχεδίαση datapath

Αιγόξηζκνη Γνκή επηινγήο. Πνιιαπιή Δπηινγή Δκθωιεπκέλεο Δπηινγέο. Δηζαγωγή ζηηο Αξρέο ηεο Δπηζηήκεο ηωλ Η/Υ. introcsprinciples.wordpress.

Τέτοιες λειτουργίες γίνονται διαμέσου του

Pipelined Datapath, Hazards and Forwarding

ΣΕΙ Δυτικήσ Μακεδονίασ, Παράρτημα Καςτοριάσ Τμήμα Πληροφορικήσ και Τεχνολογίασ Υπολογιςτών

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I

Pipeline: Ένα παράδειγμα από.τη καθημερινή ζωή. 30 min κάθε «φάση»

Επεξεργαστής Υλοποίηση ενός κύκλου μηχανής

ΚΤΠΡΙΑΚΗ ΜΑΘΗΜΑΣΙΚΗ ΕΣΑΙΡΕΙΑ ΜΑΘΗΜΑΣΙΚΗ ΚΤΣΑΛΟΓΡΟΜΙΑ 2007 ΓΙΑ ΣΟ ΓΤΜΝΑΙΟ Παπασκευή 26 Ιανουαπίου 2007 Σάξη: Α Γυμνασίου ΥΟΛΕΙΟ..

Παιχνίδι γλωζζικής καηανόηζης με ζχήμαηα!

ΚΕΦ. 2.3 ΑΠΟΛΤΣΗ ΣΘΜΗ ΠΡΑΓΜΑΣΘΚΟΤ ΑΡΘΘΜΟΤ

Pipeline: Ένα παράδειγµα από.τη καθηµερινή ζωή. 30 min κάθε «φάση»

Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy. Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου

30 min κάθε «φάση» Pipeline: Ένα παράδειγµα από.τη καθηµερινή ζωή. 1. Πλυντήριο. 2. Στεγνωτήριο. 3. ίπλωµα. 4. αποθήκευση. προσέγγιση για 4.

Γοκή επαλάιευες Δληοιές Όζο & Μέτρης_όηοσ

Κεντρική Μονάδα Επεξεργασίας

Ανάπηςξη Δθαπμογών ζε Ππογπαμμαηιζηικό Πεπιβάλλον

TOOLBOOK (μάθημα 2) Δεκηνπξγία βηβιίνπ θαη ζειίδσλ ΠΡΟΑΡΜΟΓΗ: ΒΑΛΚΑΝΙΩΣΗ ΔΗΜ. ΕΚΠΑΙΔΕΤΣΙΚΟ ΠΕ19 1 TOOLBOOK ΜΑΘΗΜΑ 2

Διοχέτευση (Pipeline)

Επεξεργαστής Υλοποίηση ενός κύκλου μηχανής

ΜΑΘΗΜΑ / ΤΑΞΗ : ΗΛΕΚΤΡΟΛΟΓΙΑ/Γ ΛΥΚΕΙΟΥ ΣΕΙΡΑ: ΗΜΕΡΟΜΗΝΙΑ: 08/09/2014

ΕΠΛ221: Οργάνωση Υπολογιστών και Συμβολικός Προγραμματισμός. Κεφ. 4: Ο επεξεργαστής 1. Διάδρομος δεδομένων και μονάδα ελέγχου 2.

Κευάλαιο 8 Μονοπωλιακή Συμπεριφορά- Πολλαπλή Τιμολόγηση

ΔΝΓΔΙΚΤΙΚΔΣ ΛΥΣΔΙΣ ΣΤΑ ΜΑΘΗΜΑΤΙΚΑ ΚΑΤΔΥΘΥΝΣΗΣ Γ ΛΥΚΔΙΟΥ ΓΔΥΤΔΡΑ 27 ΜΑΪΟΥ 2013

2 η Ενδιάμεση Εξέταση Λύσεις/Απαντήσεις

Διαηιμήζεις για Αιολικά Πάρκα. Κώδικες 28, 78 και 84

ΠΛΕ- 027 Μικροεπεξεργαστές 5ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση ενός κύκλου

ΑΠΑΝΤΗΣΔΙΣ ΓΙΚΤΥΑ ΥΠΟΛΟΓΙΣΤΩΝ II ΔΠΑΛ

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο,

ΓΗΜΟΙΑ ΟΙΚΟΝΟΜΙΚΗ ΣΟΜΟ Γ

-Επεξεργαστής: datapath (δίοδος δεδοµένων) (1) και control (2) -Μνήµη (3) -Συσκευές Εισόδου (4), Εξόδου (5) (Μεγάλη ποικιλία!!)

ΡΤΘΜΙΕΙ ΔΙΚΣΤΟΤ ΣΑ WINDOWS

ΟΠΤΙΚΗ Α. ΑΝΑΚΛΑΣΖ - ΓΗΑΘΛΑΣΖ

ΓΔΧΜΔΣΡΙΑ ΓΙΑ ΟΛΤΜΠΙΑΓΔ

4) Να γξάςεηε δηαδηθαζία (πξόγξακκα) ζηε Logo κε όλνκα θύθινο πνπ ζα ζρεδηάδεη έλα θύθιν. Λύζε Γηα θύθινο ζηθ επαλάιαβε 360 [κπ 1 δε 1] ηέινο

iii. iv. γηα ηελ νπνία ηζρύνπλ: f (1) 2 θαη

Αζκήζεις ζτ.βιβλίοσ ζελίδας 13 14

Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου. Ενδέκατη (11 η ) δίωρη διάλεξη.

H ΜΑΓΕΙΑ ΤΩΝ ΑΡΙΘΜΩΝ

f '(x)g(x)h(x) g'(x)f (x)h(x) h'(x) f (x)g(x)

ΔΦΑΡΜΟΜΔΝΑ ΜΑΘΗΜΑΣΙΚΑ ΣΗ ΧΗΜΔΙΑ Ι ΘΔΜΑΣΑ Α επηέκβξηνο Να ππνινγηζηνύλ νη κεξηθέο παξάγσγνη πξώηεο ηάμεο ηεο ζπλάξηεζεο f(x,y) =

Άζκηζη ζτέζης κόζηοσς-τρόνοσ (Cost Time trade off) Καηαζκεσαζηική ΑΔ

ΑΝΤΗΛΙΑΚΑ. Η Μηκή ζθέθηεθε έλαλ ηξόπν, γηα λα ζπγθξίλεη κεξηθά δηαθνξεηηθά αληειηαθά πξντόληα. Απηή θαη ν Νηίλνο ζπλέιεμαλ ηα αθόινπζα πιηθά:

ΑΛΛΑΓΗ ΟΝΟΜΑΣΟ ΚΑΙ ΟΜΑΔΑ ΕΡΓΑΙΑ, ΚΟΙΝΟΥΡΗΣΟΙ ΦΑΚΕΛΟΙ ΚΑΙ ΕΚΣΤΠΩΣΕ ΣΑ WINDOWS XP

Μικροαρχιτεκτονική του LC3

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2

Case Study. Παξαθάηω παξνπζηάδνπκε βήκα - βήκα κε screenshots έλα παξάδεηγκα ππνβνιήο κηαο εξγαζίαο θαη ηελ παξαγωγή ηνπ Originality Report.

ΔΙΑΓΩΝΙΣΜΑ ΣΤΗ ΦΥΣΙΚΗ. Ύλη: Εσθύγραμμη Κίνηζη

ΠΛΕ- 027 Μικροεπεξεργαστές 6ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση με διοχέτευση

Βάσεις Δεδομέμωμ. Εξγαζηήξην V. Τκήκα Πιεξνθνξηθήο ΑΠΘ

Αρχιτεκτονική Υπολογιστών

α) ηε κεηαηόπηζε x όηαλ ην ζώκα έρεη κέγηζην ξπζκό κεηαβνιήο ζέζεο δ) ην κέγηζην ξπζκό κεηαβνιήο ηεο ηαρύηεηαο

Chapter 6 Αύξηση της απόδοσης με διοχέτευση (pipeline)

Q Η ζσνάρηηζη μέζοσ κόζηοσς μας δίνει ηο κόζηος ανά μονάδα παραγωγής. Q Η ζσνάρηηζη μέζοσ κόζηοσς μας δίνει ηο ζηαθερό κόζηος ανά μονάδα παραγωγής

Κόληξα πιαθέ ζαιάζζεο κε δηαζηάζεηο 40Υ40 εθ. Καξθηά 3 θηιά πεξίπνπ κε κήθνο ηξηπιάζην από ην πάρνο ηνπ μύινπ θπξί κεγάιν θαη ππνκνλή

Κεφάλαιο 4. Ο επεξεργαστής. Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση

Μονοψϊνιο. Αγνξά κε ιίγνπο αγνξαζηέο. Δύναμη μονοψωνίος Η ηθαλόηεηα πνπ έρεη ν αγνξαζηήο λα επεξεάζεη ηελ ηηκή ηνπ αγαζνύ.

γηα ηνλ Άξε Κσλζηαληηλίδε

Απαντήσεις θέματος 2. Παξαθάησ αθνινπζεί αλαιπηηθή επίιπζε ησλ εξσηεκάησλ.

ΠΑΝΔΛΛΑΓΗΚΔ ΔΞΔΣΑΔΗ Γ ΣΑΞΖ ΖΜΔΡΖΗΟΤ ΓΔΝΗΚΟΤ ΛΤΚΔΗΟΤ Γευηέρα 11 Ηουνίου 2018 ΔΞΔΣΑΕΟΜΔΝΟ ΜΑΘΖΜΑ: ΜΑΘΖΜΑΣΗΚΑ ΠΡΟΑΝΑΣΟΛΗΜΟΤ. (Ενδεικηικές Απανηήζεις)

ΠΑΝΔΛΛΑΓΗΚΔ ΔΞΔΣΑΔΗ Γ ΣΑΞΖ ΖΜΔΡΖΗΟΤ ΓΔΝΗΚΟΤ ΛΤΚΔΗΟΤ ΚΑΗ ΔΠΑΛ ΣΔΣΑΡΣΖ 25 ΜΑΨΟΤ 2016 ΔΞΔΣΑΕΟΜΔΝΟ ΜΑΘΖΜΑ: ΑΡΥΔ ΟΗΚΟΝΟΜΗΚΖ ΘΔΧΡΗΑ ΠΡΟΑΝΑΣΟΛΗΜΟΤ - ΔΠΗΛΟΓΖ

ηδάζθσλ: εµήηξεο Εετλαιηπνύξ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΔΕΥΤΕΡΗ ΠΡΟΟΔΟΣ ΣΤΗΝ ΟΡΓΑΝΩΣΗ ΣΤΟΥΣ Η/Y (ΗΥ232)

Εςθςή ζςζηήμαηα επισειπήζεων και αξιολόγηζη

Διάλεξη 12 Καθυστερήσεις (Stalls)

ΣΥΣΤΗΜΑΤΑ ΑΛΓΕΒΡΑ Α ΛΥΚΕΙΟΥ. 1. Να ιπζνύλ ηα ζπζηήκαηα. 1 0,3x 0,1y x 3 3x 4y 2 4x 2y ( x 1) 6( y 1) (i) (ii)

Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Διοχέτευση

Κινητός και Διάχυτος Υπολογισμός (Mobile & Pervasive Computing)

ΔΠΙΣΡΟΠΗ ΓΙΑΓΩΝΙΜΩΝ 74 ος ΠΑΝΔΛΛΗΝΙΟ ΜΑΘΗΣΙΚΟ ΓΙΑΓΩΝΙΜΟ ΣΑ ΜΑΘΗΜΑΣΙΚΑ Ο ΘΑΛΗ 19 Οκηωβρίοσ Δνδεικηικές λύζεις

ΚΤΠΡΙΑΚΗ ΜΑΘΗΜΑΣΙΚΗ ΕΣΑΙΡΕΙΑ ΜΑΘΗΜΑΣΙΚΗ ΚΤΣΑΛΟΓΡΟΜΙΑ 2007 ΓΙΑ ΣΟ ΓΤΜΝΑΙΟ Παπασκευή 26 Ιανουαπίου 2007 Σάξη: Α Γυμνασίου ΥΟΛΕΙΟ..

EL Eνωμένη στην πολυμορυία EL A8-0046/319. Τροπολογία

Η/Υ A ΤΑΞΕΩΣ ΑΕ Συστήματα Αρίθμησης. Υποπλοίαρχος Ν. Πετράκος ΠΝ

ΕΞΙΣΩΣΕΙΣ. (iv) (ii) (ii) (ii) 5. Γηα ηηο δηάθνξεο ηηκέο ηνπ ι λα ιπζνύλ νη εμηζώζεηο : x 6 3 9x

ΕΙΣΑΓΩΓΗ ΣΤΗ ΘΕΩΡΙΑ ΣΗΜΑΤΩΝ & ΣΥΣΤΗΜΑΤΩΝ. ΜΕΤΑΣΦΗΜΑΤΙΣΜΟΣ Laplace

ΚΤΠΡΙΑΚΗ ΜΑΘΗΜΑΣΙΚΗ ΔΣΑΙΡΔΙΑ ΠΑΓΚΤΠΡΙΟ ΓΙΑΓΩΝΙ ΜΟ

7. ΚΑΤΑΧΩΡΗΤΕΣ ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ. 3. Έλαο θαηαρσξεηήο SISO ησλ 4 bits έρεη: α) Μία είζνδν, β) Δύν εηζόδνπο, γ) Σέζζεξεηο εηζόδνπο.

ΔΕΟ 13. Ποσοτικές Μέθοδοι. θαη λα ππνινγίζεηε ην θόζηνο γηα παξαγόκελα πξντόληα. Να ζρεδηαζηεί γηα εύξνο πξντόλησλ έσο

Διάλεξη 11 Προώθηση (Forwarding)

ΠΛΗ36. Άσκηση 1. Άσκηση 2. Οη δηεπζύλζεηο ησλ 4 σλ ππνδηθηύσλ είλαη νη αθόινπζεο. Υπνδίθηπν Α: /27 Υπνδίθηπν Β:

ΒΗΜΑ 2. Εηζάγεηε ηνλ Κωδηθό Πξόζβαζεο πνπ ιακβάλεηε κε SMS & δειώλεηε επηζπκεηό Όλνκα Πξόζβαζεο (Username) θαη ην ζαο

6 η Εργαζηηριακή Άζκηζη Επαλήθεσζη Λειηοσργίας Βαζικών Φλιπ-Φλοπ

ΚΔΦ. 2.4 ΡΗΕΔ ΠΡΑΓΜΑΣΗΚΩΝ ΑΡΗΘΜΩΝ

Αζθήζεηο 5 νπ θεθαιαίνπ Crash course Step by step training. Dipl.Biol.cand.med. Stylianos Kalaitzis

Ηλεκηπονικά Απσεία και Διεπαθέρ

ΜΗΧΑΝΟΛΟΓΙΚΟ ΣΧΔΓΙΟ ΙΙ

Δξγαζηεξηαθή άζθεζε 03. Σηεξενγξαθηθή πξνβνιή ζην δίθηπν Wulf

Δξγαιεία Καηαζθεπέο 1 Σάμε Σ Δ.Κ.Φ.Δ. ΥΑΝΙΧΝ ΠΡΧΣΟΒΑΘΜΙΑ ΔΚΠΑΙΓΔΤΗ. ΔΝΟΣΗΣΑ 11 ε : ΦΧ ΔΡΓΑΛΔΙΑ ΚΑΣΑΚΔΤΔ. Καηαζθεπή 1: Φαθόο κε ζσιήλα.

Απλός επεξεργαστής (Επανάληψη)

Απνηειέζκαηα Εξσηεκαηνινγίνπ 2o ηεηξάκελν

3 ΑΠΙΔ ΑΘΖΔΗ ΘΟΚΟΙΟΓΗΑ ΠΟΤ ΑΛΣΗΚΔΣΩΠΗΕΟΛΣΑΗ ΚΔ ΦΤΗΘΖ ΘΑΗ ΚΑΘΖΚΑΣΗΘΑ ΙΤΘΔΗΟΤ

Δπηιέγνληαο ην «Πξνεπηινγή» θάζε θνξά πνπ ζα ζπλδέεζηε ζηελ εθαξκνγή ζα βξίζθεζηε ζηε λέα ρξήζε.

Transcript:

Single-cyle σλοποίηζη: Γηάξθεηα θύθινπ ίζε κε ηε κεγαιύηεξε εληνιή-worst case delay (εδώ ε lw) = ρακειή απόδνζε! Αληηβαίλεη κε αξρή: Κάλε ηελ πην απιή πεξίπηωζε γξήγνξε (ίζωο θαη εηο βάξνο ηωλ πην «ζύλζεηωλ» πεξηπηώζεωλ π.ρ. (load 32bit constant to reg)). Κάζε functional unit ρξεζηκνπνηείηαη κηα θνξά ζε θάζε θύθιν: αλάγθε γηα πνιιαπιό hardware = θόζηνο πινπνίεζεο! Λύζη: Multicycle σλοποίηζη Μηθξόηεξνη θύθινη ξνινγηνύ, από ηηο θαζπζηεξήζεηο ηωλ επηκέξνπο functional units Ta ζρήκαηα είλαη από ην βηβιίν COD2e/Patterson-Hennessy cslab@ntua 2012-2013 1

Λίγο πριν ηο Pipeline Multicycle σλοποίηζη Γηαηξνύκε ηελ εθηέιεζε ηεο θάζε εληνιήο ζε βήκαηα αλάινγα κε ηνλ αξηζκό ηωλ functional units πνπ ρξεηάδεηαη Κάζε βήκα θαη έλαο μερωξηζηόο παικόο ξνινγηνύ Όηαλ έρνπκε multicycle πινπνίεζε, κπνξνύκε ην ίδην functional unit λα ην ρξεζηκνπνηήζνπκε πνιιέο θνξέο ζηελ ίδηα εληνιή, ζε δηαθνξεηηθνύο όκωο θύθινπο (νηθνλνκία hardware) Οη εληνιέο δηαξθνύλ κεηαβιεηό αξηζκό θύθιωλ, άξα κπνξνύκε λα θάλνπκε ηελ ζπλεζηζκέλε πεξίπηωζε πην γξήγνξε. cslab@ntua 2012-2013 2

MIPS Datapath-Multicycle Implementation 1. Χξεζηκνπνηνύκε ηελ ίδηα memory unit ηόζν γηα instructions όζν θαη γηα data 2. Χξεζηκνπνηνύκε ηελ ίδηα ALU (αληί γηα κηα ALU θαη δύν αζξνηζηέο PC+4 θαη PC+4+address_offset) 3. Μεηά από θάζε functional unit ππάξρνπλ θαηαρωξεηέο πνπ θξαηάλε ην απνηέιεζκα κέρξηο όηνπ ην πάξεη ην επόκελν functional unit (ζηνλ επόκελν θύθιν) cslab@ntua 2012-2013 3

Multicycle Datapath: Δπηπιένλ θαηαρωξεηέο: IR, MDR, A, B θαη ALUOut cslab@ntua 2012-2013 4

Υπόζεζε: «Σε θάζε θύθιν ξνινγηνύ κπνξεί λα γίλεη έλα από ηα παξαθάηω» Memory access Register file access (read or write) ALU op Οτιδήποτε παράγεται από αυτές τις μονάδες,σώζεται σε temporary register Temporary registers κεηαμύ ηωλ ιεηηνπξγηθώλ κνλάδωλ: IR MDR ALUOut A, B (έμνδνο register file) cslab@ntua 2012-2013 5

ΓΔΓΟΜΔΝΑ πνπ: Θα χρησιμοποιηθούν από την ίδια εντολή σε επόμενους κύκλους: Σώδνληαη ζε temporary registers Θα χρησιμοποιηθούν από επόμενες εντολές: Σώδνληαη ζε: register file, memory, PC Programmer visible state elements cslab@ntua 2012-2013 6

Δπηινγή κεηαμύ PC (γηα εληνιή branch, PC+4) θαη Α (γηα R-Type) Δπηινγή κεηαμύ 4 (PC+4), B (R-Type), sign_extend offset γηα Ι-Type (lw, sw) θαη branch offset cslab@ntua 2012-2013 7

Single-cycle datapath: cslab@ntua 2012-2013 8

Διαίρεζη ηης εκηέλεζης κάθε ενηολής ζε πολλαπλούς κύκλοσς: 1. Instruction Fetch «Φέρε την εντολή από τη μνήμη και υπολόγισε τα διεύθυνση ανάκλησης για την επόμενη εντολή» IR = Memory[PC]; PC = PC + 4; 2. Instruction decode and register fetch (reg. File read) «Διάβασε τους καταχωρητές rs και rt και αποθήκευσέ τους στους Α και Β αντίστοιχα» Α = Reg[IR[25-21]]; B = Reg[IR[20-16]]; ALUOut=PC + (sign-extend (IR[15-0] << 2) ; cslab@ntua 2012-2013 9

..ζσνέτεια: 2. Instruction decode and register fetch (reg. File read) Οη Α θαη Β «γεκίδνπλ» ζε θάζε θύθιν! Πάληα ν IR πεξηέρεη ηελ εληνιή από ηελ αξρή κέρξη ην ηέινο! Σην βήκα απηό ππνινγίδεηαη θαη ε δηεύζπλζε «πηζαλνύ» άικαηνο θαη απνζεθεύεηαη ζην θαηαρωξεηή ALUOut (αλ πξόθεηηαη γηα εληνιή branch) Οι δύο παραπάνω λειηοσργίες γίνονηαι ηασηότρονα cslab@ntua 2012-2013 10

..ζσνέτεια: 3. Execution, memory address computation or branch completion Εδώ γηα πξώηε θόξα, παίδεη ξόιν ηη είδνπο εληνιή έρνπκε a) Memory Reference: b) Arithmetic-Logical: c) Branch: d) Jump: ALUOut=A+sign-extend (IR[15-0]); ALUOut=A op B; If (A==B) PC = ALUOut; PC = PC[31-28] (IR[25-0] <<2); cslab@ntua 2012-2013 11

..ζσνέτεια: 4. Memory Access or R-Type instruction completion a) Memory Reference: MDR = Memory [ALUOut]; ή Memory [ALUOut] = Β; «διάβασε από τη διεύθυνση που έχει σχηματιστεί στον ALUOut και αποθήκευσε στον MDR (load)» ή «διάβασε το B (που πάντα έχει τον destination reg rt) και αποθήκευσέ το στη μνήμη με δνση ALUOut Δίλαη πάληα ην β) Arithmetic-Logical: ίδην, ζε όινπο ηνπο θύθινπο! Reg[IR[15-11]] = ALUOut; cslab@ntua 2012-2013 12

..ζσνέτεια: 5. Memory read completion (write back step) Reg[IR[20-16]] = MDR; «Γράψε πίσω τα data που είχαν την προηγούμενη φάση αποθηκευτεί στον MDR, στο register file» cslab@ntua 2012-2013 13

cslab@ntua 2012-2013 14